The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for adder with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1956-1965 (16) 1966-1978 (17) 1979-1985 (18) 1986-1988 (22) 1989-1990 (18) 1991-1992 (17) 1993 (18) 1994-1995 (37) 1996 (28) 1997 (35) 1998 (34) 1999 (51) 2000 (29) 2001 (59) 2002 (63) 2003 (88) 2004 (70) 2005 (112) 2006 (121) 2007 (110) 2008 (107) 2009 (60) 2010 (49) 2011 (54) 2012 (52) 2013 (56) 2014 (63) 2015 (85) 2016 (69) 2017 (83) 2018 (77) 2019 (83) 2020 (94) 2021 (86) 2022 (68) 2023 (93) 2024 (15)
Publication types (Num. hits)
article(887) inproceedings(1269) phdthesis(1)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 786 occurrences of 438 keywords

Results
Found 2158 publication records. Showing 2157 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
16Hussain Al-Asaad, John P. Hayes, Brian T. Murray Scalable Test Generators for High-Speed Datapath Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF datapath circuits, scalability, built-in self-test, test generation, on-line testing, carry lookahead
16Yuke Wang, Xiaoyu Song, El Mostapha Aboulhamid Residue to Binary Number Converters for (2n-1, 2n, 2n+1). Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF algorithm, adders, residue number system, circuit, arithmetic
16Bassem A. Alhalabi, Qutaibah M. Malluhi, Rafic A. Ayoubi Non-Refreshing Analog Neural Storage Tailored for On-Chip Learning. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF non-refreshing static storage, on-chip learning neural networks, analog learning
16Th. Haniotakis, Dimitris Nikolos, Y. Tsiatouhas C-Testable One-Dimensional ILAs with Respect to Path Delay Faults: Theory and Applications. Search on Bibsonomy DFT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF robustly delay fault testable circuits, path delay faults, C-testability, Iterative-logic-arrays
16David W. Matula, Asger Munk Nielsen Pipelined Packet-Forwarding Floating Point: I. Foundations and a Rounder. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1997 DBLP  DOI  BibTeX  RDF pipelined packet forwarding floating point, packet forwarding floating point format, rounder design, packet forwarding format, standard binary IEEE 754 floating point format, multiplication algorithms, ALU pipeline paradigm, data hazards, pipelined floating point operations, execution phases, multiplier packet forwarding pipelines, execution phase, logic levels, multiplier pipelines, forwarding pipelines, IEEE 754 binary floating point compatibility, pipeline arithmetic, data dependent operations
16Yamin Li, Wanming Chu Implementation of single precision floating point square root on FPGAs. Search on Bibsonomy FCCM The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Fu-Chiung Cheng, Stephen H. Unger, Michael Theobald, Wen-Chung Cho Delay-Insensitive Carry-Lookahead Adders. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Uming Ko, T. Balsara, Wai Lee Low-power design techniques for high-performance CMOS adders. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
16Bernd Becker 0001, Rolf Drechsler, Paul Molitor On the generation of area-time optimal testable adders. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
16Feng Zhou, Peter Kornerup High Speed DCT/IDCT Using a Pipelined CORDIC Algorithm. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
16Jalil Fadavi-Ardekani M×N Booth encoded multiplier generator using optimized Wallace trees. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
16Paolo Montuschi, Luigi Ciminiera n × n carry-save multipliers without final addition. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
16Kurt Keutzer, Sharad Malik, Alexander Saldanha Is redundancy necessary to reduce delay? Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
16Kurt Keutzer, Sharad Malik, Alexander Saldanha Is Redundancy Necessary to Reduce Delay. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
16Paruvachi V. R. Raja Novel Design Techniques for RNS Systolic VLSI Arrays. Search on Bibsonomy Great Lakes Computer Science Conference The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
16Mahmoud A. Manzoul, Jia-Yuan Han Realization of a multi-valued inner product step processor using CCD's (abstract only). Search on Bibsonomy ACM Conference on Computer Science The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
15Ghassem Jaberipur, Behrooz Parhami, Saeid Gorgin 0001 Redundant-Digit Floating-Point Addition Scheme Based on a Stored Rounding Value. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Adder/subtractor, redundant format, computer arithmetic, floating point, rounding, signed-digit number system
15Qingzheng Li, Guixuan Liang, Amine Bermak A High-speed 32-bit Signed/Unsigned Pipelined Multiplier. Search on Bibsonomy DELTA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF signed/unsigned multiplier, fast adder, Booth Encoding, Wallace Tree
15Mark S. K. Lau, Keck Voon Ling, Yun-Chung Chu, Arun Bhanu Modeling of Probabilistic Ripple-Carry Adders. Search on Bibsonomy DELTA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF ripple-carry adder, noies modeling, error propagation, Probabilistic computation
15Saroja V. Siddamal, R. M. Banakar, B. C. Jinaga Design of High-Speed Floating Point Multiplier. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FP operations, Fast Carry look ahead adder (MCLA), CSD algorithm, Booth algorithm
15Christophe Philemotte, Hugues Bersini Intrinsic emergence boosts adaptive capacity. Search on Bibsonomy GECCO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF intrinsic emergence, genetic algorithm, heuristics, cellular automata, speedup technique, binary adder
15Daniel J. Deleganes, Micah Barany, George L. Geannopoulos, Kurt Kreitzer, Anant P. Singh, Sapumal B. Wijeratne Low voltage swing logic circuits for a Pentium 4 processor integer core. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF LVS, Pentium® 4 processor, integer core, low voltage swing, sense-amp, microprocessor, rotator, adder
15Jiun-In Guo, Jui-Cheng Yen An Efficient IDCT Processor Design for HDTV Applications. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF inverse discrete cosine transform (IDCT), adder-based implementation, common sub-expression sharing, HDTV, cyclic convolution
15Katarzyna Leijten-Nowak, Jef L. van Meerbergen An FPGA architecture with enhanced datapath functionality. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF adder inverting property, application-domain tuning, logic block architectures, FPGAs, DSP, symmetry
15John Mayega, Okan Erdogan, Paul M. Belemjian, Kuan Zhou, John F. McDonald 0001, Russell P. Kraft 3D direct vertical interconnect microprocessors test vehicle. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF SiGe HBT, direct vertical integration, finite state machine, interconnect, microprocessor, adder, register file, 3D integration, current mode logic
15Tsukasa Ike, Takahiro Hanyu, Michitaka Kameyama Fully Source-Coupled Logic Based Multiple-Valued VLSI. Search on Bibsonomy ISMVL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF source-coupled logic, differential-pair circuit, current-source control, radix-2 signed-digit adder, multiple-valued logic, current-mode logic
15Tomás Lang, Javier D. Bruguera Multilevel Reverse-Carry Computation for Comparison and for Sign and Overflow Detection in Addition. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Most significant carry, prefix tree, carry look-ahead adder
15James C. Ellenbogen Advances Toward Molecular-Scale Electronic Digital Logic Circuits: A Review and Prospectus. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF nanocomputer architectures, molecular circuit designs, molecular adder, nanoelectronics, molecular electronics
15Albrecht P. Stroele BIST Pattern Generators Using Addition and Subtraction Operations. Search on Bibsonomy J. Electron. Test. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF built-in self-test, adder, accumulator, pattern generator, subtracter
15Paul F. Stelling, Vojin G. Oklobdzija Implementing Multiply-Accumulate Operation in Multiplication Time. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1997 DBLP  DOI  BibTeX  RDF multiply-accumulate operation, multiplication time, optimal delays, instruction time, optimal multiply-accumulate circuit, RISC CPU, partial product reduction tree, final adder, digital signal processing, power savings, multiplying circuits, circuit design, VLSI circuits, parallel multiplier, processor performance, video applications, graphics applications, clock speed
15Janardhan H. Satyanarayana, Keshab K. Parhi, Leilei Song, Yun-Nan Chang Systematic analysis of bounds on power consumption in pipelined and non-pipelined multipliers. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF pipelined multipliers, nonpipelined multipliers, Baugh-Wooley multiplier, binary tree multiplier, Wallace tree multiplier, STDs, sub circuits, energy values, cubic dependence, word length, quadratic dependence, digital CMOS circuits, CMOS adder, low power arithmetic units, power consumption, power consumption, switching activity, state transition diagrams
15Zhan Chen, Israel Koren Techniques for Yield Enhancement of VLSI Adders. Search on Bibsonomy ASAP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF VLSI yield, VLSI adder, defect tolerance, VLSI layout
15K. Vijayan Asari, C. Eswaran An Optimization Technique for the Design of Multiple Valued PLA's. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF multiple valued PLA design, output encoding, binary output, multiple function literal circuits, PLA size, encoding, minimization, programmable logic arrays, adders, adder, many-valued logics, minimisation, multiple valued logic, logic arrays, optimization technique, network synthesis
15Michael J. Schulte, Earl E. Swartzlander Jr. Hardware Designs for Exactly Rounded Elemantary Functions. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF Chebyshev approximation, summing circuits, exactly rounded elementary functions, multi-operand adder, Chebyshev series approximation, single-precision floating point numbers, chip area, 1.0-micron CMOS technology, computational delay, exact rounding, argument reduction, computer arithmetic, digital arithmetic, polynomials, CMOS integrated circuits, multiplying circuits, square-root, hardware designs, reciprocal, approximation theory, polynomial approximation, special-purpose hardware, parallel multiplier, 1 micron
15Shambhu J. Upadhyaya, Hoang Pham Analysis of Noncoherent Systems and an Architecture for the Computation of the System Reliability. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF noncoherent systems, k-to-l-out-of-n systems, transportation system environments, coherent systems, cellular implementation, optimal configurations, communication, VLSI, fault tolerant computing, computer-aided-design, multiprocessor, computer architecture, adder, multiplier, system reliability, N-modular-redundancy
15Eric M. Schwarz, Michael J. Flynn Parallel High-Radix Nonrestoring Division. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF parallel high-radix nonrestoring division, quotient estimation, generalized partial remainder, carry propagate adder, high-radix division, logic design, logic design, latency, computer arithmetic, digital arithmetic, combinatorial algorithm, SRT division
15Nhon T. Quach, Michael J. Flynn High-Speed Addition in CMOS. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF high speed addition, static complementary metal-oxide semiconductor, Ling-type 32-bit adder, serial transistors, worst-case critical path, carry look-ahead, CMOS, adders, CMOS integrated circuits, gate delay, 32 bit
15Milos D. Ercegovac, Tomás Lang Fast Multiplication Without Carry-Propagate Addition. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1990 DBLP  DOI  BibTeX  RDF fast multiplication, carry-propagate adder, LRCF scheme, general radix r, radix-4 signed-digit implementation, digital arithmetic
15Israel Koren, Ofra Zinaty Evaluating Elementary Functions in a Numerical Coprocessor Based on Rational Approximations. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1990 DBLP  DOI  BibTeX  RDF high-precision floating-point numbers, extended double precision format, IEEE standard P754, floating-point numeric coprocessor, fast adder, digital arithmetic, execution time, microprocessor chips, approximation theory, elementary functions, function evaluation, rational approximations, silicon area, fast multiplier
15Binay Sugla, David A. Carlson Extreme Area-Time Tradeoffs in VLSI. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1990 DBLP  DOI  BibTeX  RDF bounded fan-in, fan-out prefix computation graphs, area requirements, constant factor reduction, area-time tradeoff, VLSI, lower bounds, digital arithmetic, layout, circuit layout CAD, carry look-ahead adder
15Shinji Nakamura, Kai-Yu Chu A Single Chip Parallel Multiplier by MOS Technology. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF single chip parallel multiplier, MOS technology, five-counter cell, logic design level, full adder cell design, logic design, integrated logic circuits, multiplying circuits, design optimization, field effect integrated circuits
15Naofumi Takagi, Hiroto Yasuura, Shuzo Yajima High-Speed VLSI Multiplication Algorithm with a Redundant Binary Addition Tree. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1985 DBLP  DOI  BibTeX  RDF binary integer multiplication, carry-propagation-free adder, high-speed multiplier, redundant binary representation, VLSI, Arithmetic operations, hardware algorithm, signed-digit number representation
15Tsutomu Sasao Input Variable Assignment and Output Phase Optimization of PLA's. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1984 DBLP  DOI  BibTeX  RDF complexity of logic circuits, decoder assignment, essential prime implicants, output phase optimization, logic design, programmable logic array, Adder, switching theory
15Hung Chi Lai, Saburo Muroga Logic Networks of Carry-Save Adders. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1982 DBLP  DOI  BibTeX  RDF parallel adder in double-rail input logic, Carry?save adders, input bundles, multioperand adders, NAND gates, NOR gates, output bundles, logic design, multipliers, full adders
15S. A. Kent A High-Speed Threshold Gate Multiplier. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1977 DBLP  DOI  BibTeX  RDF High-speed adder, threshold logic, propagation delay, partial product, parallel multiplication
15J. A. Bate, Jon C. Muzio Three Cell Structures for Ternary Cellular Arrays. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1977 DBLP  DOI  BibTeX  RDF combinational switching functions, ternary full adder, universal arrays, Cellular arrays, symmetric functions, ternary logic
15Francisco J. O. Dias Truth-Table Verification of an Iterative Logic Array. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1976 DBLP  DOI  BibTeX  RDF test, fault detection, Adder, multiple fault, cell, checking experiment, truth table, iterative array
15John A. Gibson, R. W. Gibbard Synthesis and Comparison of Two's Complement Parallel Multipliers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1975 DBLP  DOI  BibTeX  RDF Algorithm syntheses, full adder arrays, multiplier comparisons, parallel binary multiplication, two's complement formulation
13R. Nishanth, C. Helen Sulochana A novel lightweight CNN-based error-reduced carry prediction approximate full adder design for multimedia applications. Search on Bibsonomy Neural Comput. Appl. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Hemanshi Chugh, Sonal Singh Efficient co-planar adder designs in quantum dot cellular automata: Energy and cost optimization with crossover elimination. Search on Bibsonomy Integr. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Sriram Sundar S, Mahendran G CMOS full adder cells based on modified full swing restored complementary pass transistor logic for energy efficient high speed arithmetic applications. Search on Bibsonomy Integr. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Melika Amiri, Massoud Dousti, Majid Mohammadi Design and implementation of carry-save adder using quantum-dot cellular automata. Search on Bibsonomy J. Supercomput. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Fang Wang, Jie Bai, Linlin Liu, Tianyuan Ye Temporal noisy-adder of bayesian network for scalable consecutive-k-out-of-n:F system reliability analysis. Search on Bibsonomy Reliab. Eng. Syst. Saf. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Chaojie He, Zi Wang, Feibin Xiang, Zhuoyu Dai, Yifan He, Jinshan Yue, Yongpan Liu LSAC: A Low-Power Adder Tree for Digital Computing-in-Memory by Sparsity and Approximate Circuits Co-Design. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Samane Asgari, Mohammad Reza Reshadinezhad, Seyed Erfan Fatemieh Energy-efficient and fast IMPLY-based approximate full adder applying NAND gates for image processing. Search on Bibsonomy Comput. Electr. Eng. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Ahmad Karimi, Keivan Navi The design of adder, subtractor, and derivative circuits without the use of op-amp in CNFET Technology. Search on Bibsonomy Comput. Electr. Eng. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13S. Lakshmanachari, Sadulla Shaik, G. S. R. Satyanarayana, Inapudi Vasavi, Vallabhuni Vijay, Chandra Shaker Pittala 1-bit full adder design using next generation semiconductor devices and performance benchmarking at low supply voltages. Search on Bibsonomy Int. J. Syst. Assur. Eng. Manag. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Manish Srivastava, Alessandro Ferro, Aleksandr Sidun, José M. de la Rosa 0001, Kilian O'Donoghue, Pádraig Cantillon-Murphy, Daniel O'Hare A Small-Area 2nd-Order Adder-Less Continuous-Time ΔΣ Modulator With Pulse Shaping FIR DAC for Magnetic Sensing. Search on Bibsonomy IEEE Open J. Circuits Syst. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Gerardo Bandera, Javier Salamero, Miquel Moretó, Julio Villalba Floating Point HUB Adder for RISC-V Sargantana Processor. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Kihwan Kim, Hyuk-Jae Lee, Xuan Truong Nguyen Reconfigurable One-Adder Multiplication for CNN Acceleration. Search on Bibsonomy ICEIC The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Ho-Sung Lee, Joo-Hyung Chae A 1-Kb 6T 1C XNOR-DRAM Compute-In-Memory Macro With Signed Bit Adder Block for CNN Operations. Search on Bibsonomy ICEIC The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13An Guo, Xi Chen, Fangyuan Dong, Jinwu Chen, Zhihang Yuan, Xing Hu, Yuanpeng Zhang, Jingmin Zhang, Yuchen Tang, Zhican Zhang, Gang Chen, Dawei Yang, Zhaoyang Zhang, Lizheng Ren, Tianzhu Xiong, Bo Wang, Bo Liu, Weiwei Shan, Xinning Liu, Hao Cai, Guangyu Sun, Jun Yang, Xin Si 34.3 A 22nm 64kb Lightning-Like Hybrid Computing-in-Memory Macro with a Compressed Adder Tree and Analog-Storage Quantizers for Transformer and CNNs. Search on Bibsonomy ISSCC The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Yathin Kumar Attuluri, Ruchit Chudasama, Kailash Prasad, Joycee Mekie FP-ATM: A Flexible Floating Point NOR Adder Tree Macro for In-Memory Computing. Search on Bibsonomy VLSID The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13S. Senthilkumar, V. Samuthira Pandi, T. Sripriya, N. Pragadish Design of recustomize finite impulse response filter using truncation based scalable rounding approximate multiplier and error reduced carry prediction approximate adder for image processing application. Search on Bibsonomy Concurr. Comput. Pract. Exp. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Perumal Mahendran, M. S. Kavitha, R. Radhika, C. Kotteeswaran Design of all pass make over based capricious digital filter using eminent speed dual carry select adder and truncation and rounding approximate multiplier for image processing application. Search on Bibsonomy Concurr. Comput. Pract. Exp. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Ayoub Sadeghi, Razieh Ghasemi, Hossein Ghasemian, Nabiollah Shiri High Efficient GDI-CNTFET-Based Approximate Full Adder for Next Generation of Computer Architectures. Search on Bibsonomy IEEE Embed. Syst. Lett. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Qi-Yue Yu, Ke-Xun Song Uniquely Decodable Multi-Amplitude Sequence for Grant-Free Multiple-Access Adder Channels. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13D. Rebecca Florance, B. Prabhakar Design of joint reconfigurable hybrid adder and subtractor using FinFET and GnrFET technologies. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Afshin Khaksari, Omid Akbari, Behzad Ebrahimi BEAD: Bounded error approximate adder with carry and sum speculations. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Jeyakumar Ponraj, R. Jeyabharath, P. Veena, Tharumar Srihari High-performance multiply-accumulate unit by integrating binary carry select adder and counter-based modular wallace tree multiplier for embedding system. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Jie Xu 0019, Gensheng Hu, Dingjun Qian A quantum-based building block for designing a nanoscale full adder circuit with power analysis. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Sueyeon Kim, Insoo Choi, Sangki Cho, Myounggon Kang, Seungjae Baik, Changho Ra, Jongwook Jeon Analysis of Logic-in-Memory Full Adder Circuit With Floating Gate Field Effect Transistor (FGFET). Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Abdelsalam Al Share, Fadi Nessir Zghoul, Osama Daifallah Al-Khaleel, Mohammad Al-Khaleel, Christos A. Papachristou Design of High Speed BCD Adder Using CMOS Technology. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Vineet Jaiswal, Trailokya Nath Sasamal Novel approach for the design of efficient full adder in MQCA. Search on Bibsonomy J. Supercomput. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Hyoju Seo, Yongtae Kim A Low Latency Approximate Adder Design Based on Dual Sub-Adders With Error Recovery. Search on Bibsonomy IEEE Trans. Emerg. Top. Comput. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Umberto Garlando, Qi Wang, Oleksandr V. Dobrovolskiy, Andrii V. Chumak, Fabrizio Riente Numerical Model for 32-Bit Magnonic Ripple Carry Adder. Search on Bibsonomy IEEE Trans. Emerg. Top. Comput. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Farshid Ahmadi, Mohammad R. Semati, Hassan Daryanavard A Low-Power Improved-Accuracy Approximate Error-Report-Propagate Adder for DSP Applications. Search on Bibsonomy Circuits Syst. Signal Process. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Vasundhara Trivedi, Khushbu Lalwani, Gopal Raut, Avikshit Khomane, Neha Ashar, Santosh Kumar Vishvakarma Hybrid ADDer: A Viable Solution for Efficient Design of MAC in DNNs. Search on Bibsonomy Circuits Syst. Signal Process. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Chua-Chin Wang, Oliver Lexter July A. Jose, Wen-Shou Yang, Ralph Gerard B. Sangalang, Lean Karlo S. Tolentino, Tzung-Je Lee A 16-nm FinFET 28.8-mW 800-MHz 8-Bit All-N-Transistor Logic Carry Look-Ahead Adder. Search on Bibsonomy Circuits Syst. Signal Process. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13T. Nirmalraj, S. K. Pandiyan, Rakesh Kumar Karan, R. Sivaraman 0001, Rengarajan Amirtharajan Design of Low-Power 10-Transistor Full Adder Using GDI Technique for Energy-Efficient Arithmetic Applications. Search on Bibsonomy Circuits Syst. Signal Process. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13K. Saritha Raj, P. Rajesh Kumar, M. Satyanarayana Capricious Digital Filter Design and Implementation Using Baugh-Wooley Multiplier and Error Reduced Carry Prediction Approximate Adder for ECG Noise Removal Application. Search on Bibsonomy Circuits Syst. Signal Process. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Ning Zhang, Guoqing Wang, Jue Wang 0011, He Chen, Wenchao Liu 0001, Liang Chen 0004 All Adder Neural Networks for On-Board Remote Sensing Scene Classification. Search on Bibsonomy IEEE Trans. Geosci. Remote. Sens. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Hamid Tavakolaee, Gholamreza Ardeshir, Yasser Baleghi 0001 Design and analysis of a novel fast adder using logical effort method. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Yonatan Pugachov, Moria Gulitski, Omri Mizrahi, Dror Malka Design of All-Optical Logic Half-Adder Based on Photonic Crystal Multi-Ring Resonator. Search on Bibsonomy Symmetry The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Yiming Chen, Guodong Yin, Mufeng Zhou, Wenjun Tang, Zekun Yang, Mingyen Lee, Xirui Du, Jinshan Yue, Jiaxin Liu, Huazhong Yang, Yongpan Liu, Xueqing Li SAMBA: Single-ADC Multi-Bit Accumulation Compute-in-Memory Using Nonlinearity- Compensated Fully Parallel Analog Adder Tree. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Charalampos Eleftheriadis, Georgios Karakonstantis Optimal Adder-Multiplexer Co-Optimization for Time-Multiplexed Multiplierless Architectures. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Yifan He, Jinshan Yue, Xiaoyu Feng, Yuxuan Huang, Hongyang Jia, Jingyu Wang, Lu Zhang, Wenyu Sun, Huazhong Yang, Yongpan Liu An RRAM-Based Digital Computing-in-Memory Macro With Dynamic Voltage Sense Amplifier and Sparse-Aware Approximate Adder Tree. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Ghassem Jaberipur, Dariush Badri, Jeong-A Lee A Parallel Prefix Modulo-(2q + 2q-1 + 1) Adder via Diminished-1 Representation of Residues. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Ximing Li 0003, Xing Jin, Weichong Chen, Ningyuan Yin, Zhiyi Yu Parallel-Prefix Adder in Spin-Orbit Torque Magnetic RAM for High Bit-Width Non-Volatile Computation. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Jongho Yoon, Seunghan Baek, Sunmean Kim, Seokhyeong Kang Optimizing Ternary Multiplier Design With Fast Ternary Adder. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Aibin Yan, Runqi Liu, Jie Cui 0004, Tianming Ni, Patrick Girard 0001, Xiaoqing Wen, Jiliang Zhang 0002 Designs of BCD Adder Based on Excess-3 Code in Quantum-Dot Cellular Automata. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Mina Raouf, Somayeh Timarchi Non-Volatile and High-Performance Cascadable Spintronic Full-Adder With No Sensitivity to Input Scheduling. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Gerson D. Andrade, Matheus Silva, Cínthia Schneider, Guilherme Paim, Sergio Bampi, Eduardo Costa 0001, Alexandra L. Zimpeck Robustness Analysis of 3-2 Adder Compressor Designed in 7-nm FinFET Technology. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Xing Jin, Weichong Chen, Ximing Li 0003, Ningyuan Yin, Caihua Wan, Mingkun Zhao, Xiufeng Han, Zhiyi Yu High-Reliability, Reconfigurable, and Fully Non-volatile Full-Adder Based on SOT-MTJ for Image Processing Applications. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Forouzan Bahrami, Nabiollah Shiri, Farshad Pesaran Imprecise Subtractor Using a New Efficient Approximate-Based Gate Diffusion Input Full Adder for Bioimages Processing. Search on Bibsonomy Comput. Electr. Eng. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13B. Jeevan, K. Bikshalu, E. Hari Krishna, Kosaraju Sivani Design of 2-1 Multiplexer based high-speed, Two-Stage 90 nm Carry Select Adder for fast arithmetic units. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Senthil Pitchai, Saravanan Pitchai Area-latency efficient floating point adder using interleaved alignment and normalization. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13A. Venkatesan, P. T. Vanathi, M. Elangovan Diode Connected Transistor-Based Low PDP Adiabatic Full Adder in 7 nm FINFET Technology for MIMO Applications. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13A. Venkatesan, P. T. Vanathi, M. Elangovan Erratum: Diode Connected Transistor-Based Low PDP Adiabatic Full Adder in 7nm FINFET Technology for MIMO Applications. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13A. Niyas Ahamed, M. Madheswaran Hybrid Brent Kung Adder with Modified Sum Generator for Energy Efficient Applications. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 2157 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license