The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for faults with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1959-1972 (16) 1973-1974 (15) 1975-1976 (17) 1977-1978 (20) 1979-1980 (31) 1981-1982 (24) 1983 (17) 1984 (16) 1985 (31) 1986 (43) 1987 (27) 1988 (63) 1989 (72) 1990 (99) 1991 (105) 1992 (122) 1993 (147) 1994 (156) 1995 (232) 1996 (251) 1997 (233) 1998 (231) 1999 (308) 2000 (368) 2001 (310) 2002 (434) 2003 (488) 2004 (575) 2005 (646) 2006 (726) 2007 (732) 2008 (660) 2009 (452) 2010 (232) 2011 (178) 2012 (181) 2013 (220) 2014 (226) 2015 (230) 2016 (263) 2017 (309) 2018 (293) 2019 (363) 2020 (363) 2021 (428) 2022 (401) 2023 (422) 2024 (117)
Publication types (Num. hits)
article(4437) book(1) data(5) incollection(32) inproceedings(7361) phdthesis(56) proceedings(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 10002 occurrences of 3019 keywords

Results
Found 11893 publication records. Showing 11893 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
24Irith Pomeranz, Sudhakar M. Reddy Test compaction for transition faults under transparent-scan. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Tomas Bengtsson, Artur Jutman, Shashi Kumar, Raimund Ubar, Zebo Peng Off-Line Testing of Delay Faults in NoC Interconnects. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24David de Andrés, Juan-Carlos Ruiz-Garcia, Daniel Gil, Pedro J. Gil Fast Emulation of Permanent Faults in VLSI Systems. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Zhuo Zhang 0008, Sudhakar M. Reddy, Irith Pomeranz, Xijiang Lin, Janusz Rajski Scan Tests with Multiple Fault Activation Cycles for Delay Faults. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Zacharias Gketsis, Michalis E. Zervakis, George S. Stavrakakis Early Detection of Winding Faults in Windmill Generators Using Wavelet Transform and ANN Classification. Search on Bibsonomy ICANN (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Gang Chen 0011, Sudhakar M. Reddy, Irith Pomeranz, Janusz Rajski New Procedures to Identify Redundant Stuck-At Faults and Removal of Redundant Logic. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24James Chien-Mo Li Diagnosis of Multiple Hold-Time and Setup-Time Faults in Scan Chains. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Fault diagnosis, ATPG, scan chain
24Yannick Monnet, Marc Renaudin, Régis Leveugle Hardening Techniques against Transient Faults for Asynchronous Circuits. Search on Bibsonomy IOLTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Toshimitsu Masuzawa, Sébastien Tixeuil A Self-stabilizing Link-Coloring Protocol Resilient to Unbounded Byzantine Faults in Arbitrary Networks. Search on Bibsonomy OPODIS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF link-coloring, fault tolerance, self-stabilization, distributed protocol, Byzantine fault, fault containment
24Cecilia Metra, Martin Omaña 0001, Daniele Rossi 0001, José Manuel Cazeaux, T. M. Mak The Other Side of the Timing Equation: a Result of Clock Faults. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Ashraf M. Abdelbar, Plínio Roberto Souza Vilela, Mário Jino Mapping faults to failures in SQL manipulation commands. Search on Bibsonomy AICCSA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Irith Pomeranz, Sudhakar M. Reddy Tuple Detection for Path Delay Faults: A Method for Improving Test Set Quality. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Michele Favalli, Cecilia Metra TMR voting in the presence of crosstalk faults at the voter inputs. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24João Durães, Henrique Madeira Generic Faultloads Based on Software Faults for Dependability Benchmarking. Search on Bibsonomy DSN The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Chunsheng Liu, Kumar N. Dwarakanath, Krishnendu Chakrabarty, Ronald D. Blanton Compact Dictionaries for Diagnosis of Unmodeled Faults in Scan-BIST. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Chuan-Ching Sue, Jun-Ying Yeh, Chin-Yu Huang Full Restoration of Multiple Faults in WDM Networks without Wavelength Conversion. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Chaowen Yu, Sudhakar M. Reddy, Irith Pomeranz Weighted Pseudo-Random BIST for N-Detection of Single Stuck-at Faults. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Daniel Barros Jr., Fabian Vargas 0001, Marcelino B. Santos, Isabel C. Teixeira, João Paulo Teixeira 0001 Modeling and Simulation of Time Domain Faults in Digital Systems. Search on Bibsonomy IOLTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Eric F. Weglarz, Kewal K. Saluja, T. M. Mak Testing of Hard Faults in Simultaneous Multithreaded Processors. Search on Bibsonomy IOLTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Yusuke Sakurai, Fukuhito Ooshita, Toshimitsu Masuzawa A Self-stabilizing Link-Coloring Protocol Resilient to Byzantine Faults in Tree Networks. Search on Bibsonomy OPODIS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Hafizur Rahaman 0001, Debesh K. Das, Bhargab B. Bhattacharya Easily Testable Realization of GRM and ESOP Networks for Detecting Stuck-at and Bridging Faults. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Baris Arslan, Alex Orailoglu Extracting Precise Diagnosis of Bridging Faults from Stuck-at Fault Information. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
24Andrzej Krasniewski Evaluation of Testability of Path Delay Faults for User-Configured Programmable Devices. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
24Guido Bertoni, Luca Breveglieri, Israel Koren, Paolo Maistri, Vincenzo Piuri Detecting and Locating Faults in VLSI Implementations of the Advanced Encryption Standard. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
24Maria K. Michael, Spyros Tragoudas ATPG tools for delay faults at the functional level. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF functional-level testing, path delay fault simulation (coverage), testing digital circuits, Automatic test pattern generation, Binary Decision Diagrams, delay testing, Boolean Satisfiability, path delay fault testing
24Peter G. Bishop Estimating Residual Faults from Code Coverage. Search on Bibsonomy SAFECOMP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
24Andrzej Krasniewski Exploiting Reconfigurability for Effective Testing of Delay Faults in Sequential Subcircuits of LUT-based FPGAs. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
24Peter Sobe Checking a Non-Byzantine FT Scheme against Byzantine Faults. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
24Aditya D. Sathe, Michael L. Bushnell, Vishwani D. Agrawal Analog Macromodeling of Capacitive Coupling Faults in Digital Circuit Interconnects. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
24Sun-Yuan Hsieh, Gen-Huey Chen, Chin-Wen Ho Longest Fault-Free Paths in Star Graphs with Edge Faults. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF fault tolerance, embedding, Bipartite graph, star graph, Hamiltonicity, longest path
24Irith Pomeranz, Sudhakar M. Reddy On diagnosis and diagnostic test generation for pattern-dependenttransition faults. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
24Yoshinobu Higami, Naoko Takahashi, Yuzo Takamatsu Test Generation for Double Stuck-at Faults. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
24Hossam M. A. Fahmy, Salma A. Ghoneim Fault-Tolerant Communication with Partitioned Dimension-Order Routers with Complex Faults. Search on Bibsonomy ICOIN The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
24Said Hamdioui, Ad J. van de Goor, Mike Rodgers, David Eastwick March Tests for Realistic Faults in Two-Port Memories. Search on Bibsonomy MTDT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
24Max Breitling Modeling Faults of Distributed, Reactive Systems. Search on Bibsonomy FTRTFT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
24Tong Liu 0007, Xiao-Tao Chen, Fred J. Meyer, Fabrizio Lombardi Test generation and scheduling for layout-based detection of bridge faults in interconnects. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
24Yukio Ohsawa, Masahiko Yachida Discover Risky Active Faults by Indexing an Earthquake Sequence. Search on Bibsonomy Discovery Science The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
24Sudip Chakrabarti, Abhijit Chatterjee Fault modeling and fault sampling for isolating faults in analog and mixed-signal circuits. Search on Bibsonomy ISCAS (2) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
24Diamantino Costa, Henrique Madeira Experimental Assessment of COTS DBMS Robustness under Transient Faults. Search on Bibsonomy PRDC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
24Hiroshi Takahashi, Kwame Osei Boateng, Yuzo Takamatsu Diagnosis of Single Gate Delay Faults in Combinational Circuits using Delay Fault Simulation. Search on Bibsonomy Asian Test Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
24Sankaran M. Menon, Anura P. Jayasumana, Yashwant K. Malaiya Input Pattern Classification for Transistor Level Testing of Bridging Faults in BiCMOS Circuits. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
24Miquel Roca 0001, Antonio Rubio 0001 Current testability analysis of feedback bridging faults in CMOS circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
24Patrick Girard 0001, Christian Landrault, Serge Pravossoudovitch An advanced diagnostic method for delay faults in combinational faulty circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF simulation, diagnosis, delay fault, critical path tracing
24Suresh Chalasani, Rajendra V. Boppana Communication in Multicomputers with Nonconvex Faults. Search on Bibsonomy Euro-Par The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
24Antonio Rubio 0001, Noriyoshi Itazaki, Xiaole Xu, Kozo Kinoshita An approach to the analysis and detection of crosstalk faults in digital VLSI circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
24S. Wayne Bollinger, Scott F. Midkiff Test generation for IDDQ testing of bridging faults in CMOS circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
24Chen-Pin Kung, Chen-Shang Lin HyHOPE: a fast fault simulator with efficient simulation of hypertrophic faults. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
24Hyung Ki Lee, Dong Sam Ha SOPRANO: An Efficient Automatic Test Pattern Generator for Stuck-Open Faults in CMOS Combinational Circuits. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
24Weiwei Mao, Michael D. Ciletti A Variable Observation Time Method for Testing Delay Faults. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
24Niraj K. Jha Testing for multiple faults in domino-CMOS logic circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
24Sarma Sastry, Melvin A. Breuer Detectability of CMOS stuck-open faults using random and pseudorandom test sequences. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
23Seda Postalcioglu, Kadir Erkan Soft computing and signal processing based active fault tolerant control for benchmark process. Search on Bibsonomy Neural Comput. Appl. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Fault detection and identification, Self-organizing map, Wavelet analysis, Fuzzy logic controller, Fault tolerant control
23Chihoon Lee, Doohyung Lee, Jahwan Koo, Jin-Wook Chung Proactive Fault Detection Schema for Enterprise Information System Using Statistical Process Control. Search on Bibsonomy HCI (8) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Proactive Fault detection, EWMA, System management, Statistical Process Control, Early Detection
23Siva Kumar Sastry Hari, Man-Lap Li, Pradeep Ramachandran, Byn Choi, Sarita V. Adve mSWAT: low-cost hardware fault detection and diagnosis for multicore systems. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF architecture, error detection, fault injection, multicore processors
23Andreas Haeberlen, Petr Kuznetsov The Fault Detection Problem. Search on Bibsonomy OPODIS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fault detection problem, lower bounds, message complexity, Fault classes
23Gregor von Bochmann, Stefan Haar, Claude Jard, Guy-Vincent Jourdan Testing Systems Specified as Partial Order Input/Output Automata. Search on Bibsonomy TestCom/FATES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Testing distributed systems, conformance relations, partial order automata, HMSC, partial order, finite state automata
23Shideh Shahidi, Sandeep Gupta 0001 Multi-Vector Tests: A Path to Perfect Error-Rate Testing. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Alex Edwards, Sean Tucker, Sébastien Worms, Rahul Vaidya, Brian Demsky AFID: an automated fault identification tool. Search on Bibsonomy ISSTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF fault collection
23Caihua Wu, Xiaodong Zhu, Juntao Liu The SRGM Framework of Integrated Fault Detection Process and Correction Process. Search on Bibsonomy CSSE (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Shianling Wu, Laung-Terng Wang, Zhigang Jiang, Jiayong Song, Boryau Sheu, Xiaoqing Wen, Michael S. Hsiao, James Chien-Mo Li, Jiun-Lang Huang, Ravi Apte On Optimizing Fault Coverage, Pattern Count, and ATPG Run Time Using a Hybrid Single-Capture Scheme for Testing Scan Designs. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Man-Lap Li, Pradeep Ramachandran, Swarup Kumar Sahoo, Sarita V. Adve, Vikram S. Adve, Yuanyuan Zhou Understanding the propagation of hard errors to software and implications for resilient system design. Search on Bibsonomy ASPLOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF architecture, error detection, fault injection, permanent fault
23John Marty Emmert, Charles E. Stroud, Miron Abramovici Online Fault Tolerance for FPGA Logic Blocks. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Kypros Constantinides, Stephen Plaza, Jason A. Blome, Valeria Bertacco, Scott A. Mahlke, Todd M. Austin, Bin Zhang 0011, Michael Orshansky Architecting a reliable CMP switch architecture. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF CMP switch, reliability, defect-tolerance
23Jon Arvid Børretzen, Jostein Dyre-Hansen Investigating the Software Fault Profile of Industrial Projects to Determine Process Improvement Areas: An Empirical Study. Search on Bibsonomy EuroSPI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Erdal Kiliç, Okan Ozgonenel, Mustafa Ulutas Fault Identification in Transformers through a Fuzzy Discrete Event System Approach. Search on Bibsonomy FUZZ-IEEE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Christian J. Hescott, Drew C. Ness, David J. Lilja Scaling Analytical Models for Soft Error Rate Estimation Under a Multiple-Fault Environment. Search on Bibsonomy DSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Barbara Pernici, Anna Maria Rosati Automatic Learning of Repair Strategies for Web Services. Search on Bibsonomy ECOWS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23C.-Y. Huang, C.-T. Lin Software Reliability Analysis by Considering Fault Dependency and Debugging Time Lag. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Mohammad Gh. Mohammad, Kewal K. Saluja Optimizing program disturb fault tests using defect-based testing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Man Fai Lau, Yuen-Tak Yu An extended fault class hierarchy for specification-based testing. Search on Bibsonomy ACM Trans. Softw. Eng. Methodol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Fault class analysis, software testing, test case generation, specification-based testing
23Hiroshi Takahashi, Yukihiro Yamamoto, Yoshinobu Higami, Yuzo Takamatsu Enhancing BIST Based Single/Multiple Stuck-at Fault Diagnosis by Ambiguous Test Set. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Allen P. Nikora, John C. Munson The Effects of Fault Counting Methods on Fault Model Quality. Search on Bibsonomy COMPSAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF defect content estimation techniques, software measurement, software modeling, fault prediction
23Cristian Constantinescu Experimental evaluation of error-detection mechanisms. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Jin-Fu Li 0001, Ruey-Shing Tzeng, Cheng-Wen Wu Testing and Diagnosis Methodologies for Embedded Content Addressable Memories. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF march test algorithm, memory diagnostics, BIST, memory testing, CAM
23Tomas Berling, Thomas Thelin An Industrial Case Study of the Verification and Validation Activities. Search on Bibsonomy IEEE METRICS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF fault detection technique, software process metrics, software testing, case study, software process improvement, incremental development, software validation
23Ching-Tien Ho, Larry J. Stockmeyer A New Approach to Fault-Tolerant Wormhole Routing for Mesh-Connected Parallel Computers. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Jin-Fu Li 0001, Ruey-Shing Tzeng, Cheng-Wen Wu Testing and Diagnosing Embedded Content Addressable Memories. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Yuen-Tak Yu, Man Fai Lau Prioritization of Test Cases in MUMCUT Test Sets: An Empirical Study. Search on Bibsonomy Ada-Europe The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Ulrich Schmid 0001 How to Model Link Failures: A Perception-Based Fault Model. Search on Bibsonomy DSN The full citation details ... 2001 DBLP  DOI  BibTeX  RDF consistent broadcasting, fault models, clock synchronization, link failures, Fault-tolerant distributed systems
23A. B. M. Harun-ur Rashid, Mazuhidul Karim, Syed Mahfuzul Aziz Testing complementary pass-transistor logic circuits. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
23Jun Zhao 0005, V. Swamy Irrinki, Mukesh Puri, Fabrizio Lombardi Testing SRAM-Based Content Addressable Memories. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF March C algorithm, fault detection, fault modeling, memory testing, Content addressable memory
23John Marty Emmert, Dinesh K. Bhatia A Fault Tolerant Technique for FPGAs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF incremental reconfiguration, incremental routing, incremental placement, fault tolerance, FPGA
23Michael S. Hsiao, Srimat T. Chakradhar Test Set and Fault Partitioning Techniques for Static Test Sequence Compaction for Sequential Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF static test set compaction, vector-reordering, fault coverage curve, partitioning, ATPG
23Subhasish Mitra, Nirmal R. Saxena, Edward J. McCluskey Fault Escapes in Duplex Systems. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Duplex systems, Common-Mode Failures (CMFs), Test points, User-programmable logic, Data Integrity, Availability, Diversity
23Jun Zhao 0005, Fred J. Meyer, Fabrizio Lombardi Adaptive Fault Detection and Diagnosis of RAM Interconnects. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF interconnect, memory, diagnosis, detection, wiring
23Hiroshi Takahashi, Kwame Osei Boateng, Yuzo Takamatsu, Nobuhiro Yanagida Multiple Fault Diagnosis in Logic Circuits Using EB Tester and Multiple/Single Fault Simulators. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF single/multiple fault simulators, EB tester, fault diagnosis, combinational circuit, multiple stuck-at fault
23Michael L. Bushnell, John Giraldi A Functional Decomposition Method for Redundancy Identification and Test Generation. Search on Bibsonomy J. Electron. Test. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF redundancy identification, logic testing, automatic test generation, backtracing
23Hin-Sing Siu, Yeh-Hao Chin, Wei-Pang Yang A Note on Consensus on Dual Failure Modes. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF dual failure modes, fault tolerance, distributed systems, Byzantine Agreement, consensus problem, hybrid fault model
23Nabanita Das 0001, Jayasree Dattagupta A fault location technique and alternate routing in Benes network. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF fault location technique, single switch fault, recirculation, source-destination path, routing technique, exact locations, multiple switch fault detection, one bit test vectors, equivalent fault set, fault diagnosis, fault tolerant computing, reconfiguration, reconfigurable architectures, multistage interconnection networks, multistage interconnection networks, network routing, Benes network, rearrangeable network, alternate routing
23Henrique Madeira, Mário Zenha Rela, Francisco Moreira 0001, João Gabriel Silva RIFLE: A General Purpose Pin-level Fault Injector. Search on Bibsonomy EDCC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
23Kwang-Ting Cheng Transition fault testing for sequential circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
23A. Jefferson Offutt Investigations of the Software Testing Coupling Effect. Search on Bibsonomy ACM Trans. Softw. Eng. Methodol. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF software testing, unit testing, mutation, fault-based testing
23Jwu E. Chen, Chung-Len Lee 0001, Wen-Zen Shen Single-fault fault-collapsing analysis in sequential logic circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
23Kyriakos Christou, Maria K. Michael, Spyros Tragoudas On the Use of ZBDDs for Implicit and Compact Critical Path Delay Fault Test Generation. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Zero-suppressed binary decision diagram, Irredundant sum-of-products, Critical path delay faults, Compact test generation, Delay testing, Path delay faults
23Martin Biely, Josef Widder, Bernadette Charron-Bost, Antoine Gaillard, Martin Hutle, André Schiper Tolerating corrupted communication. Search on Bibsonomy PODC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF consensus, transient faults, byzantine fault tolerance, dynamic faults
23Muhsen Aljada, Adam Osseiran, Kamal E. Alameh Catastrophic and Parametric Fault Modelling for Photonic Systems. Search on Bibsonomy DELTA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF photonic, photonic testing, fault modelling, fault simulation, parametric faults, catastrophic faults
23Rajesh Ramadoss, Michael L. Bushnell Test Generation for Mixed-Signal Devices Using Signal Flow Graphs. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF analog test generation, mixed-signal test generation, back tracing, parametric faults, catastrophic faults
23Trevor Williams, Jack Tan, Chungti Liang Efficient implementation strategies for the DRB approach in fault-tolerant hypercubes. Search on Bibsonomy COMPSAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF distributed recovery block approach, fault-tolerant hypercubes, application tasks graph, processor nodes, primary cost factors, dilation bound, expansion factor, DRB approach, spare processors, hypercube networks, congestion, real-time applications, software faults, implementation strategies, hardware faults
23Cheng-Ping Wang, Chin-Long Wey Test Generation Of Analog Switched-Current Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF switched current circuits, analog switched-current circuits, current switches, voltage switches, noncatastrophic faults, transistor switches, full testability, current copiers, stray inductance, CMOS switch, BIST design, fault model, circuit simulation, macromodel, switched-capacitor circuits, test sequence generation, catastrophic faults
23Gosta Pada Biswas, Idranil Sen Gupta Generalized modular design of testable m-out-of-n code checker. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF testable m-out-of-n code checker, combinational logic port, combinational logic cells, unidirectional faults, complementary outputs, VLSI, fault diagnosis, logic testing, cellular automata, combinational circuits, fault location, stuck-at faults, logic arrays, cellular automaton, modular design, iterative array, initial state
Displaying result #401 - #500 of 11893 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license