The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for lithography with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1974-1990 (18) 1991-1993 (19) 1994-1997 (20) 1998-2000 (15) 2001-2002 (16) 2003 (21) 2004 (19) 2005 (25) 2006 (35) 2007 (35) 2008 (58) 2009 (50) 2010 (37) 2011 (27) 2012 (32) 2013 (35) 2014 (39) 2015 (35) 2016 (35) 2017 (33) 2018 (35) 2019 (23) 2020 (16) 2021 (19) 2022 (18) 2023 (27) 2024 (6)
Publication types (Num. hits)
article(265) book(1) incollection(2) inproceedings(456) phdthesis(24)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 300 occurrences of 168 keywords

Results
Found 748 publication records. Showing 748 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
16Bei Yu 0001, David Z. Pan Layout Decomposition for Quadruple Patterning Lithography and Beyond. Search on Bibsonomy DAC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Iou-Jen Liu, Shao-Yun Fang, Yao-Wen Chang Overlay-Aware Detailed Routing for Self-Aligned Double Patterning Lithography Using the Cut Process. Search on Bibsonomy DAC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Yong Xie, Yi Yuan, Haihu Tan, Juanjuan Wang Effect of Embedding Way on Printed Watermarking Image by Lithography. Search on Bibsonomy CyberC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Yuelin Du Optimization for advanced lithography Search on Bibsonomy 2014   RDF
16Zhen Geng, Zheng Shi 0002, Xiaolang Yan, Kai-sheng Luo Regularized level-set-based inverse lithography algorithm for IC mask synthesis. Search on Bibsonomy J. Zhejiang Univ. Sci. C The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Chin-Khai Tang, Ming-Shing Su, Yi-Chang Lu LineDiff Entropy: Lossless Layout Data Compression Scheme for Maskless Lithography Systems. Search on Bibsonomy IEEE Signal Process. Lett. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Shinya Sakuma, Masakuni Sugita, Fumihito Arai Fabrication of Nanopillar Micropatterns by Hybrid Mask Lithography for Surface-Directed Liquid Flow. Search on Bibsonomy Micromachines The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Ekkard Brinksmeier, Frank Vollertsen, Oltmann Riemer, Michael Koerdt, Axel Meier, Simon Kibben, Jan Möller Ultraviolet lithography on sloped surfaces utilizing diamond turned holograms. Search on Bibsonomy Prod. Eng. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Lars Liebmann, J. Andres Torres A Designer's Guide to Subresolution Lithography: Enabling the Impossible to Get to the 14-nm Node [Tutorial]. Search on Bibsonomy IEEE Des. Test The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Wei-Cheng Tian, Yu-Hsuan Ho, Chaohao Chen, Chun-Yen Kuo Sensing Performance of Precisely Ordered TiO2 Nanowire Gas Sensors Fabricated by Electron-Beam Lithography. Search on Bibsonomy Sensors The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Josu Martinez-Perdiguero, Aritz Retolaza, Deitze Otaduy, Aritz Juarros, Santos Merino Real-Time Label-Free Surface Plasmon Resonance Biosensing with Gold Nanohole Arrays Fabricated by Nanoimprint Lithography. Search on Bibsonomy Sensors The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Zhen Geng, Zheng Shi 0002, Xiaolang Yan, Kai-sheng Luo A New Level-Set-Based Inverse Lithography Algorithm for Process Robustness Improvement with Attenuated Phase Shift Mask. Search on Bibsonomy CAD/Graphics The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Radu A. Sporea, Abdullah S. Alshammari, Stamatis Georgakopoulos, John Underwood, Maxim Shkunov, S. Ravi P. Silva Micron-scale inkjet-assisted digital lithography for large-area flexible electronics. Search on Bibsonomy ESSDERC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Lucas Machado, Vinícius Dal Bem, Francesc Moll, Sergio Gómez, Renato P. Ribas, André Inácio Reis Logic synthesis for manufacturability considering regularity and lithography printability. Search on Bibsonomy ISVLSI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Bei Yu 0001, Jhih-Rong Gao, David Z. Pan L-shape based layout fracturing for e-beam lithography. Search on Bibsonomy ASP-DAC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Zhihua Wang, Jun Tan, Qingze Zou, Wei Jiang Control-based high-speed direct mask fabrication for lithography via mechanical plowing. Search on Bibsonomy ACC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Can Bikcora, Siep Weiland, Wim M. J. Coene Reduced-order modeling of thermally induced deformations on reticles for extreme ultraviolet lithography. Search on Bibsonomy ACC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Po-Hsun Wu, Mark Po-Hung Lin, Tung-Chieh Chen, Tsung-Yi Ho, Yu-Chuan Chen Lithography-aware 1-dimensional cell generation. Search on Bibsonomy ECCTD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Shigeki Nojima Optical lithography extension with double patterning. Search on Bibsonomy ISPD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Dirk Doleschal, Gerald Weigert, Andreas Klemmt, Frank Lehmann 0001 Advanced secondary resource control in semiconductor lithography areas: From theory to practice. Search on Bibsonomy WSC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Shmuel T. Klein, Dana Shapira, Gal Shelef Lossless Compression of Rotated Maskless Lithography Images. Search on Bibsonomy DCC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Liang Yang, Jiawen Li 0002, Jinli Wang, Chenchu Zhang, Yanlei Hu, Yahui Su, Wenhao Huang, Jiaru Chu Three dimensional micro-mechanical and micro-optical devices fabricated by holographic two-photon lithography. Search on Bibsonomy NEMS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Li Wang, H. Schift, P. M. Kristiansen, Konstantins Jefimovs, H. H. Solak, J. Gobrecht, Yasin Ekinci Bilayer wire-grid polarizers for DUV to IR fabricated using EUV interference and nanoimprint lithography. Search on Bibsonomy NEMS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Linsen Chen, Donglin Pu, Jin Hu, Yan Ye, Pengfei Zhu Hybrid lithography system for MEMS/NEMS. Search on Bibsonomy NEMS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Ye Zhang 0011, Wai-Shing Luk, Hai Zhou 0001, Changhao Yan, Xuan Zeng 0001 Layout decomposition with pairwise coloring for multiple patterning lithography. Search on Bibsonomy ICCAD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Bei Yu 0001, Xiaoqing Xu, Jhih-Rong Gao, David Z. Pan Methodology for standard cell compliance and detailed placement for triple patterning lithography. Search on Bibsonomy ICCAD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Haitong Tian, Yuelin Du, Hongbo Zhang 0001, Zigang Xiao, Martin D. F. Wong Constrained pattern assignment for standard cell based triple patterning lithography. Search on Bibsonomy ICCAD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Martin van den Brink Continuing to shrink: Next-generation lithography - Progress and prospects. Search on Bibsonomy ISSCC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Jhih-Rong Gao, Bei Yu 0001, Duo Ding, David Z. Pan Lithography hotspot detection and mitigation in nanometer VLSI. Search on Bibsonomy ASICON The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Zihao Chen, Hailong Yao, Yici Cai SUALD: Spacing uniformity-aware layout decomposition in triple patterning lithography. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Shmuel Tomi Klein, Dana Shapira, Gal Shelef Lossless Compression of Rotated Maskless Lithography Images. Search on Bibsonomy SPIRE The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16David Mikolas, Chang-En Chiang, Pao-Te Lin, Yi-Lin Sun, Chien-Chung Fu Ultraviolet step-index silica single mode fiber as a spatial filter for interference lithography. Search on Bibsonomy ICAIT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Sheng-Yuan Lin, Jing-Yi Chen, Jin-Cheng Li, Wan-Yu Wen, Shih-Chieh Chang A novel fuzzy matching model for lithography hotspot detection. Search on Bibsonomy DAC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Shao-Yun Fang, Iou-Jen Liu, Yao-Wen Chang Stitch-aware routing for multiple e-beam lithography. Search on Bibsonomy DAC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Yuelin Du, Qiang Ma 0002, Hua Song, James P. Shiely, Gerard Luk-Pat, Alexander Miloslavsky, Martin D. F. Wong Spacer-is-dielectric-compliant detailed routing for self-aligned double patterning lithography. Search on Bibsonomy DAC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Hsing-Chih Chang Chien, Hung-Chih Ou, Tung-Chieh Chen, Ta-Yu Kuan, Yao-Wen Chang Double patterning lithography-aware analog placement. Search on Bibsonomy DAC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Bei Yu 0001, Kun Yuan, Jhih-Rong Gao, David Z. Pan E-BLOW: e-beam lithography overlapping aware stencil planning for MCC system. Search on Bibsonomy DAC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Jian Kuang 0001, Evangeline F. Y. Young An efficient layout decomposition approach for triple patterning lithography. Search on Bibsonomy DAC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Xin Zhao, Chris Chu Line Search-Based Inverse Lithography Technique for Mask Design. Search on Bibsonomy VLSI Design The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Grégory Barbillon Plasmonic Nanostructures Prepared by Soft UV Nanoimprint Lithography and Their Application in Biological Sensing. Search on Bibsonomy Micromachines The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Kun Yuan, Bei Yu 0001, David Z. Pan E-Beam Lithography Stencil Planning and Optimization With Overlapped Characters. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Maryam Jalali, James J. Marti, Allison L. Kirchhoff, Frances Lawrenz, Stephen A. Campbell A Low-Cost Hands-On Laboratory to Introduce Lithography Concepts. Search on Bibsonomy IEEE Trans. Educ. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Pavel Poliakov, Pieter Blomme, Alessandro Vaglio Pret, Miguel Corbalan Miranda, Roel Gronheid, Diederik Verkest, Jan Van Houdt, Wim Dehaene Trades-off between lithography line edge roughness and error-correcting codes requirements for NAND Flash memories. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Seong-Yeol Mun, Seong-Jun Kang, Yang-Hee Joung Humidity Induced Defect Generation and Its Control during Organic Bottom Anti-reflective Coating in the Photo Lithography Process of Semiconductors. Search on Bibsonomy J. Inform. and Commun. Convergence Engineering The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Yi-Ren Huang, Sheng-An Kuo, Michal Stach, Chia-Hsing Liu, Kuan-Hsun Liao, Cheng-Yao Lo A High Sensitivity Three-Dimensional-Shape Sensing Patch Prepared by Lithography and Inkjet Printing. Search on Bibsonomy Sensors The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Robert W. Boyd, Jonathan P. Dowling Quantum lithography: status of the field. Search on Bibsonomy Quantum Inf. Process. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Siu-Kai Choy, Ningning Jia, Chong-Sze Tong, Man-Lai Tang, Edmund Y. Lam A Robust Computational Algorithm for Inverse Photomask Synthesis in Optical Projection Lithography. Search on Bibsonomy SIAM J. Imaging Sci. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Peng Du, Wenbo Zhao 0001, Shih-Hung Weng, Chung-Kuan Cheng, Ronald L. Graham Character design and stamp algorithms for Character Projection Electron-Beam Lithography. Search on Bibsonomy ASP-DAC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Yuelin Du, Hongbo Zhang 0001, Martin D. F. Wong, Kai-Yuan Chao Hybrid lithography optimization with E-Beam and immersion processes for 16nm 1D gridded design. Search on Bibsonomy ASP-DAC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Vivek Joshi, Kanak Agarwal, Dennis Sylvester Design-patterning co-optimization of SRAM robustness for double patterning lithography. Search on Bibsonomy ASP-DAC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Vinícius Dal Bem, André Inácio Reis, Renato P. Ribas Lithography analysis of via-configurable transistor-array fabrics. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Burn J. Lin Lithography till the end of Moore's law. Search on Bibsonomy ISPD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Shayak Banerjee, Kanak B. Agarwal, Sani R. Nassif Design-aware lithography. Search on Bibsonomy ISPD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Chong Du, Weihai Chen, Yunjie Wu, Wenjie Chen, Mei Yuan Design of an aerostatic imprint head with off-plane alignment functionality for nanoimprint lithography. Search on Bibsonomy ROBIO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Yaoping Liu, Wei Wang 0087, Haixia Alice Zhang, Wengang Wu, Zhihong Li A facile nanowire fabrication approach based on edge lithography. Search on Bibsonomy NEMS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Shinya Sakuma, Masakuni Sugita, Fumihito Arai Hybrid mask lithography for fabrication of micro-pattern with nano-pillars. Search on Bibsonomy NEMS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16H. Y. Chung, C. Y. Wu, C. H. Chen, Y. C. Lee Arrayed metallic micro/nano particles for localized surface plasmon resonance based on metal contact transfer lithography. Search on Bibsonomy NEMS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Yen-Hung Lin, Bei Yu 0001, David Z. Pan, Yih-Lang Li TRIAD: A triple patterning lithography aware detailed router. Search on Bibsonomy ICCAD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Jongwook Kye, Yuansheng Ma, Lei Yuan, Yunfei Deng, Harry J. Levinson Lithography and design integration - New paradigm for the technology architecture development. Search on Bibsonomy CICC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Hongbo Zhang 0001, Yunfei Deng, Jongwook Kye, Martin D. F. Wong Impact of lithography retargeting process on low level interconnect in 20nm technology. Search on Bibsonomy SLIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Max Schneider, Nikola Belic, Christoph Sambale, Ulrich Hofmann, Dietmar Fey Optimization of a Short-Range Proximity Effect Correction Algorithm in E-Beam Lithography Using GPGPUs. Search on Bibsonomy ICA3PP (1) The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Rani S. Ghaida, Kanak B. Agarwal, Sani R. Nassif, Xin Yuan, Lars W. Liebmann, Puneet Gupta 0001 O(n) layout-coloring for multiple-patterning lithography and conflict-removal using compaction. Search on Bibsonomy ICICDT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Kanak B. Agarwal, Shayak Banerjee Design driven patterning optimizations for low K1 lithography. Search on Bibsonomy ICICDT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Curtis Andrus, Matthew R. Guthaus Lithography-aware layout compaction. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Vikram B. Suresh, Priyamvada Vijayakumar, Sandip Kundu On lithography aware metal-fill insertion. Search on Bibsonomy ISQED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Matthias Mohaupt, Erik Beckert, Thomas Burkhardt, Marcel Hornaff, Christoph Damm, Ramona Eberhardt, Andreas Tünnermann, Hans-Joachim Döring, Klaus Reimer Precisely Assembled Multi Deflection Arrays - Key Components for Multi Shaped Beam Lithography. Search on Bibsonomy IPAS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Shao-Yun Fang, Yao-Wen Chang, Wei-Yu Chen A novel layout decomposition algorithm for triple patterning lithography. Search on Bibsonomy DAC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Hongbo Zhang 0001 Design-technology co-optimization in next generation lithography Search on Bibsonomy 2012   RDF
16Donald Winston Sub-10-nm lithography with light-ion beams. Search on Bibsonomy 2012   RDF
16Minoo Mirsaeedi EDA Solutions for Double Patterning Lithography. Search on Bibsonomy 2012   RDF
16Sazia A. Eliza, Syed Kamrul Islam, Touhidur Rahman, Nora D. Bull, Benjamin J. Blalock, L. R. Baylor, M. Nance Ericson, W. L. Gardner A Precision Dose Control Circuit for Maskless E-Beam Lithography With Massively Parallel Vertically Aligned Carbon Nanofibers. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Yoko Yamanishi, Takuma Nakano, Yu Sawada, Kazuyoshi Itoga, Teruo Okano, Fumihito Arai Maskless Gray Scale Lithography and its 3D Microfluidic Applications. Search on Bibsonomy J. Robotics Mechatronics The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Te-Sheng Li, Szu-Hung Chen Modeling and optimization of thermal-flow lithography process using a neural-genetic approach. Search on Bibsonomy J. Intell. Manuf. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Duo Ding, J. Andres Torres, David Z. Pan High Performance Lithography Hotspot Detection With Successively Refined Pattern Identifications and Machine Learning. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Banqiu Wu Next-generation lithography for 22 and 16 nm technology nodes and beyond. Search on Bibsonomy Sci. China Inf. Sci. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Minoo Mirsaeedi, Mohab H. Anis A statistical yield optimization framework for interconnect in double patterning lithography. Search on Bibsonomy Microelectron. J. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Maciej Paszynski Convergence of iterative Solvers for non-Linear Step-and-Flash imprint lithography Simulations. Search on Bibsonomy Comput. Sci. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Yao Peng 0003, Jinyu Zhang, Yan Wang 0023, Zhiping Yu Gradient-Based Source and Mask Optimization in Optical Lithography. Search on Bibsonomy IEEE Trans. Image Process. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Nishant Dhumane, Sudheendra K. Srivathsa, Sandip Kundu Lithography Constrained Placement and Post-Placement Layout Optimization for Manufacturability. Search on Bibsonomy ISVLSI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Petr Dobrovolný, Miguel Miranda, Paul Zuber Variability Aware Sub-Wavelength Lithography Characterization for Robust SRAM Design. Search on Bibsonomy ARCS Workshops The full citation details ... 2011 DBLP  BibTeX  RDF
16Soichi Inoue, Sachiko Kobayashi All-out fight against yield losses by design-manufacturing collaboration in nano-lithography era. Search on Bibsonomy ASP-DAC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Sam Sivakumar EUV lithography: Prospects and challenges. Search on Bibsonomy ASP-DAC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Jack J. H. Chen, Faruk Krecinic, Jen-Hom Chen, Raymond P. S. Chen, Burn J. Lin Future electron-beam lithography and implications on design and CAD tools. Search on Bibsonomy ASP-DAC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Felipe S. Marranghello, Vinícius Dal Bem, André Inácio Reis, Francesc Moll, Renato P. Ribas Transistor sizing in lithography-aware regular fabrics. Search on Bibsonomy SBCCI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Kun Yuan, David Z. Pan E-beam lithography stencil planning and optimization with overlapped characters. Search on Bibsonomy ISPD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Michael Junkin, Pak Kin Wong 0002 Plasma lithography for probing collective cell behaviors. Search on Bibsonomy NEMS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Narges Burouni, Erwin Berenschot, Miko Elwenspoek, Niels R. Tas Dimensional control in corner lithography for wafer-scale fabrication of nano-apertures. Search on Bibsonomy NEMS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Vijay K. Singh, Satoshi Maekawa, Megumi Katori, Yasuhito Minamiyama, Daiji Noda, Tadashi Hattori Technique for preparing defect-free high aspect ratio SU-8 resist structure using x-ray lithography. Search on Bibsonomy NEMS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Bei Yu 0001, Kun Yuan, Boyang Zhang, Duo Ding, David Z. Pan Layout decomposition for triple patterning lithography. Search on Bibsonomy ICCAD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Vinícius Dal Bem, Paulo F. Butzen, Felipe S. Marranghello, André Inácio Reis, Renato P. Ribas Impact and optimization of lithography-aware regular layout in digital circuit design. Search on Bibsonomy ICCD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Pierre-Emmanuel Gaillardon, M. Haykel Ben Jamaa, Fabien Clermidy, Ian O'Connor Evaluation of a crossbar multiplexer in a lithography-based nanowire technology. Search on Bibsonomy ISCAS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Rance Rodrigues, Sandip Kundu Model based double patterning lithography (DPL) and simulated annealing (SA). Search on Bibsonomy ISQED The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Hongbo Zhang 0001, Yuelin Du, Martin D. F. Wong, Kai-Yuan Chao Lithography-aware layout modification considering performance impact. Search on Bibsonomy ISQED The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Priyamvada Vijayakumar, Vikram B. Suresh, Sandip Kundu Lithography aware critical area estimation and yield analysis. Search on Bibsonomy ITC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Yongchan Ban, Kevin Lucas, David Z. Pan Flexible 2D layout decomposition framework for spacer-type double pattering lithography. Search on Bibsonomy DAC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Vivek Singh Lithography at 14nm and beyond: choices and challenges. Search on Bibsonomy DAC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Duo Ding, Jhih-Rong Gao, Kun Yuan, David Z. Pan AENEID: a generic lithography-friendly detailed router based on post-RET data learning and hotspot detection. Search on Bibsonomy DAC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Arthur Tay, Hui Tong Chua, Yuheng Wang, Yit Sung Ngo Equipment Design and Control of Advanced Thermal-Processing Module in Lithography. Search on Bibsonomy IEEE Trans. Ind. Electron. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Jinook Kim, Tae-Joon Song, Ju Hyuk Kim, Seong-Pil Cho, Myoung Su Yang, In-Byeong Kang, Yong Kee Hwang, In-Jae Chung Formation of the overcoat layer and column spacer for TFT-LCD using capillary force lithography. Search on Bibsonomy Displays The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Tejas Jhaveri, Vyacheslav Rovner, Lars Liebmann, Larry T. Pileggi, Andrzej J. Strojwas, Jason Hibbeler Co-Optimization of Circuits, Layout and Lithography for Predictive Technology Scaling Beyond Gratings. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 748 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license