The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase PI-Bus (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1968-1977 (16) 1978-1979 (17) 1980-1981 (28) 1982 (33) 1983 (25) 1984 (26) 1985 (37) 1986 (37) 1987 (35) 1988 (64) 1989 (54) 1990 (65) 1991 (60) 1992 (72) 1993 (80) 1994 (88) 1995 (107) 1996 (115) 1997 (121) 1998 (149) 1999 (172) 2000 (213) 2001 (187) 2002 (232) 2003 (376) 2004 (447) 2005 (516) 2006 (600) 2007 (687) 2008 (606) 2009 (607) 2010 (532) 2011 (539) 2012 (514) 2013 (543) 2014 (544) 2015 (556) 2016 (532) 2017 (614) 2018 (595) 2019 (709) 2020 (732) 2021 (797) 2022 (720) 2023 (712) 2024 (188)
Publication types (Num. hits)
article(9767) book(4) data(19) incollection(20) inproceedings(4838) phdthesis(51)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 4167 occurrences of 2110 keywords

Results
Found 14725 publication records. Showing 14699 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
25Ganghee Lee, Seokhyun Lee, Yongjin Ahn, Kiyoung Choi Automatic Bus Matrix Synthesis based on Hardware Interface Selection for Fast Communication Design Space Exploration. Search on Bibsonomy ICSAMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Shanq-Jang Ruan, Shang-Fang Tsai, Yu-Ting Pai Design and Analysis of Low Power Dynamic Bus Based on RLC simulation. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25K. S. Sainarayanan, Chittarsu Raghunandan, M. B. Srinivas Delay and Power Minimization in VLSI Interconnects with Spatio-Temporal Bus-Encoding Scheme. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Ya-Shu Chen, Song-Jian Tang, Shi-Wu Lo A priority assignment strategy of processing elements over an on-chip bus. Search on Bibsonomy SAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF hardware/software codesign, processing elements, priority assignment
25Thuyen Le, Tilman Glökler, Jason Baumgartner Formal verification of a pervasive interconnect bus system in a high-performance microprocessor. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25David W. Siljenberg, Steve Baumgartner, Tim Buchholtz, Mark Maxson, Trevor Timpane, Jeff Johnson Xbox360TM Front Side Bus - A 21.6 GB/s End-to-End Interface Design. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Bradley R. Quinton, Steven J. E. Wilton Embedded Programmable Logic Core Enhancements for System Bus Interfaces. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Haishan Li, Ming Zhang 0001, Wei Zheng, Dongxiao Li An Adaptive Arbitration Algorithm for SoC Bus. Search on Bibsonomy IEEE NAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Alexander Khitun, Mingqiang Bao, Jooyoung Lee, Kang L. Wang, D. W. Lee, S. Wang Cellular Nonlinear Network with Spin Wave Bus. Search on Bibsonomy ITNG The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Cheng-Min Lien, Ya-Shu Chen, Chi-Sheng Shih 0001 On-Chip Bus Architecture Optimization for Multi-core SoC Systems. Search on Bibsonomy SEUS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25David O. Savageau, Thomas J. Overbye Adaptive Influence Distance Algorithm for Contouring Bus-Based Power System Data. Search on Bibsonomy HICSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Bratislav Predic, Dragan Stojanovic, Slobodanka Djordjevic-Kajan, Aleksandar Milosavljevic, Dejan D. Rancic Prediction of Bus Motion and Continuous Query Processing for Traveler Information Services. Search on Bibsonomy ADBIS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Automatic Vehicle Location (AVL), prediction of arrival/travel time, intelligent transportation systems (ITS), information services, continuous query processing
25Asral Bahari, Tughrul Arslan, Ahmet T. Erdogan Interframe Bus Encoding Technique for Low Power Video Compression. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Sungchan Kim, Soonhoi Ha Efficient exploration of bus-based system-on-chip architectures. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Edwin Naroska, Shanq-Jang Ruan, Uwe Schwiegelshohn Simultaneously optimizing crosstalk and power for instruction bus coupling capacitance using wire pairing. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Shang-Wei Tu, Yao-Wen Chang, Jing-Yang Jou RLC Coupling-Aware Simulation and On-Chip Bus Encoding for Delay Reduction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Massimo Alioto, Massimo Poli, Santina Rocchi, Valerio Vignoli Power Modeling of Precharged Address Bus and Application to Multi-bit DPA Attacks to DES Algorithm. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Junhyung Um, Woo-Cheol Kwon, Sungpack Hong, Young-Taek Kim, Kyu-Myung Choi, Jeong-Taek Kong, Soo-Kwan Eo, Taewhan Kim A systematic IP and bus subsystem modeling for platform-based system design. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Shang-Fang Tsai, Shanq-Jang Ruan DS2IS: Dictionary-based Segmented Signal Inversion Scheme for Low Power Dynamic Bus Design. Search on Bibsonomy ICIT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Sujan Pandey, Manfred Glesner Energy Efficient Statistical On-Chip Communication Bus Synthesis for a Reconfigurable Architecture. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Shanq-Jang Ruan, Edwin Naroska, Chun-Chih Chen Optimal partitioned fault-tolerant bus layout for reducing power in nanometer designs. Search on Bibsonomy ISPD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF fault-tolerant, reliability, low power, coupling capacitance
25Avnish R. Brahmbhatt, Jingyi Zhang, Qinru Qiu, Qing Wu 0002 Adaptive low-power bus encoding based on weighted code mapping. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Mircea Popa 0001, Voicu Groza, Alina Botas Lin Bus Testing Software. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Rajeev R. Rao, Harmander Deogun, David T. Blaauw, Dennis Sylvester Bus encoding for total power reduction using a leakage-aware buffer configuration. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Nizar Bouabdallah, André-Luc Beylot, Emannuel Dotaro, Guy Pujolle Resolving the Fairness Issues in Bus-Based Optical Access Networks. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Ashutosh Chakraborty, Enrico Macii, Massimo Poncino Exploiting Cross-Channel Correlation for Energy-Efficient LCD Bus Encoding. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Frank Leymann The (Service) Bus: Services Penetrate Everyday Life. Search on Bibsonomy ICSOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Shanq-Jang Ruan, Edwin Naroska, Uwe Schwiegelshohn Simultaneous Wire Permutation, Inversion, and Spacing with Genetic Algorithm for Energy-Efficient Bus Design. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Andreas Wieferink, Rainer Leupers, Gerd Ascheid, Heinrich Meyr, Tom Michiels, Achim Nohl, Tim Kogel Retargetable generation of TLM bus interfaces for MP-SoC platforms. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF simulation, SystemC, architecture exploration, TLM, retargetability, MP-SoC
25Sankalp Kallakuri, Nattawut Thepayasuwan, Alex Doboli, Simona Doboli Communication subsystem synthesis and analysis tool using bus architecture generation and stochastic arbitration policies. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Sudeep Pasricha, Nikil D. Dutt, Elaheh Bozorgzadeh, Mohamed Ben-Romdhane Floorplan-aware automated synthesis of bus-based communication architectures. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF systems-on-chip, communication synthesis
25Mauro Olivieri, Francesco Pappalardo 0002, Giuseppe Visalli Bus-switch coding for reducing power dissipation in off-chip buses. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Edgar den Boef, Wim F. J. Verhaegh, Jan H. M. Korst Bus and Buffer Usage in In-Home Digital Networks: Applying the Dantzig-Wolfe Decomposition. Search on Bibsonomy J. Sched. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF in-home digital network, linear programming, resource management, buffer, transmission schedule, Dantzig-Wolfe decomposition, bandwidth smoothing
25Nattawut Thepayasuwan, Alex Doboli Layout Conscious Bus Architecture Synthesis for Deep Submicron Systems on Chip. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Ulrich Neffe, Klaus Rothbart, Christian Steger, Reinhold Weiss, Edgar Rieger, Andreas Mühlberger Energy Estimation Based on Hierarchical Bus Models for Power-Aware Smart Cards. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Matheos Lampropoulos, Bashir M. Al-Hashimi, Paul M. Rosinger Minimization of Crosstalk Noise, Delay and Power Using a Modified Bus Invert Technique. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Edgar den Boef, Jan H. M. Korst, Wim F. J. Verhaegh Optimal Bus and Buffer Allocation for a Set of Leaky-Bucket-Controlled Streams. Search on Bibsonomy ICT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF leaky-bucket-traffic characterization, in-home digital network, smoothing variable-bit-rate streams, resource management
25Zhiqiang Liu, Toru Ishida 0001, Huanye Sheng Multiagent-Based Demand Bus Simulation for Shanghai. Search on Bibsonomy MMAS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25A. T. Sivaram, Masashi Shimanouchi, Howard Maassen, Robert Jackson Tester Architecture For The Source Synchronous Bus. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Harmander Deogun, Rajeev R. Rao, Dennis Sylvester, David T. Blaauw Leakage-and crosstalk-aware bus encoding for total power reduction. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF low power, encoding, leakage reduction
25Faran Rafiq, Malgorzata Chrzanowska-Jeske, Hannah Honghua Yang, Marcin Jeske, Naveed A. Sherwani Integrated floorplanning with buffer/channel insertion for bus-based designs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Edgar den Boef, Wim F. J. Verhaegh, Jan H. M. Korst Smoothing Streams in an In-Home Digital Network: Optimization of Bus and Buffer Usage. Search on Bibsonomy Telecommun. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF in-home digital network, resource management, buffer, transmission schedule, bandwidth smoothing
25Giuseppe Ascia, Vincenzo Catania, Maurizio Palesi A Genetic Bus Encoding Technique for Power Optimization of Embedded Systems. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Byung-Soo Choi, Dong-Ik Lee Frequent Value Cache for Low-Power Asynchronous Dual-Rail Bus. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25M. Madhu, V. Srinivasa Murty, V. Kamakoti 0001 Dynamic Coding Technique For Low-Power Data Bus. Search on Bibsonomy ISVLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Nicola Drago, Franco Fummi, Marco Monguzzi, Giovanni Perbellini, Massimo Poncino Estimation of Bus Performance for a Tuplespace in an Embedded Architecture. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Nattawut Thepayasuwan, Vaishali Damle, Alex Doboli Bus Architecture Synthesis for Hardware-Software Co-Design of Deep Submicron Systems on Chip. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Shinsaku Shimizu, Toshimasa Matsuoka, Kenji Taniguchi 0001 Parallel bus systems using code-division multiple access technique. Search on Bibsonomy ISCAS (2) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Jongsun Kim, Zhiwei Xu 0003, Mau-Chung Frank Chang Reconfigurable memory bus systems using multi-Gbps/pin CDMA I/O transceivers. Search on Bibsonomy ISCAS (2) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25D. J. Beauregard, Zbigniew Kalbarczyk, Ravishankar K. Iyer, Savio N. Chau, Leon Alkalai Error-Injection-Based Failure Characterization of the IEEE 1394 Bus. Search on Bibsonomy IOLTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Chi-Ming Tsai, Guang-Wan Liao, Rung-Bin Lin A Low Power-Delay Product Page-Based Address Bus Coding Method. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Paul-Peter Sotiriadis, Anantha P. Chandrakasan A bus energy model for deep submicron technology. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25Mounir Benabdenbi, Walid Maroufi, Meryem Marzouki CAS-BUS: A Test Access Mechanism and a Toolbox Environment for Core-Based System Chip Testing. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF SoC test control, TAPed cores, P1500 wrappers, test access mechanism, I/O bandwidth
25Faran Rafiq, Malgorzata Chrzanowska-Jeske, Hannah Honghua Yang, Naveed A. Sherwani Integrated floorplanning with buffer/channel insertion for bus-based microprocessor designs. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF floorplanning, routability, interconnect estimation
25Chuanjun Zhang, Frank Vahid A power-configurable bus for embedded systems. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25Daniele Rossi 0001, Steven V. E. S. van Dijk, Richard P. Kleihorst, A. H. Nieuwland, Cecilia Metra Coding Scheme for Low Energy Consumption Fault-Tolerant Bus. Search on Bibsonomy IOLTW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25Tony Givargis, Frank Vahid, Jörg Henkel Evaluating power consumption of parameterized cache and bus architectures in system-on-a-chip designs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
25Marcello Lajolo Bus guardians: an effective solution for online detection and correction of faults affecting system-on-chip buses. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
25Paul-Peter Sotiriadis, Anantha P. Chandrakasan Reducing bus delay in submicron technology using coding. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
25Geng Bai, Sudhakar Bobba, Ibrahim N. Hajj RC Power Bus Maximum Voltage Drop in Digital VLSI Circuits. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
25Koen Danckaert, Chidamber Kulkarni, Francky Catthoor, Hugo De Man, Vivek Tiwari A Systematic Approach for System Bus Load Reduction Applied to Medical Imaging. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
25Marcello Lajolo, Matteo Sonza Reorda, Massimo Violante Early Evaluation Of Bus Interconnects Dependability For System-On-Chip Designs. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
25Wei-Chung Cheng, Massoud Pedram Power-optimal encoding for DRAM address bus (poster session). Search on Bibsonomy ISLPED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
25Martin Maierhofer, Christopher Bailey 0002 On ATM Cell Batching and Its Effects on Bus Arbitration in a Conventional Multimedia Server. Search on Bibsonomy EUROMICRO The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
25Michael D. Jones, Ganesh Gopalakrishnan Verifying Transaction Ordering Properties in Unbounded Bus Networks through Combined Deductive/Algorithmic Methods. Search on Bibsonomy FMCAD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
25Vince E. Boros, Aleksandar D. Rakic, Sri Parameswaran High-level model of a WDMA passive optical bus for a reconfigurable multiprocessor system. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
25Preeti Ranjan Panda, Nikil D. Dutt Low-power memory mapping through reducing address bus activity. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
25Roberto Giorgi, Cosimo Antonio Prete PSCR: A Coherence Protocol for Eliminating Passive Sharing in Shared-Bus Shared-Memory Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF performance evaluation, multiprocessor, Cache memory, coherence protocol
25Shung-Shing Lee, Shi-Jinn Horng, Horng-Ren Tsai Entropy thresholding and its parallel algorithm on the reconfigurable array of processors with wider bus networks. Search on Bibsonomy IEEE Trans. Image Process. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
25Luca Benini, Giovanni De Micheli, Enrico Macii, Massimo Poncino, Stefano Quer Power optimization of core-based systems by address bus encoding. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
25Mihaela Sighireanu, Radu Mateescu 0001 Verification of the Link Layer Protocol of the IEEE-1394 Serial Bus (FireWire): An Experiment with E-LOTOS. Search on Bibsonomy Int. J. Softw. Tools Technol. Transf. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
25Eila Niemelä, Harri Perunka, Tomi Korpipää A Software Bus as a Platform for a Family of Distributed Embedded System Products. Search on Bibsonomy ESPRIT ARES Workshop The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
25Mattias O'Nils, Johnny Öberg, Axel Jantsch Grammar Based Modelling and Synthesis of Device Drivers and Bus Interfaces. Search on Bibsonomy EUROMICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
25Reuben Schrift Digital bus faults measuring techniques. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
25Giuliana Franceschinis, Andrea Fumagalli, Roberto Grasso Performance Analysis of a WDM Bus Network Based on GSPN Models. Search on Bibsonomy Computer Performance Evaluation (Tools) The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
25Michael Gasteier, Manfred Glesner Bus-Based Communication Synthesis on System-Level. Search on Bibsonomy ISSS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
25James M. Purtilo The POLYLITH Software Bus. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF architecture specification
25Karen Seidel 0002 Case Study: Specification and Refinement of the PI-Bus. Search on Bibsonomy FME The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
25MenChow Chiang, Gurindar S. Sohi Experience with Mean Value Analysis Models for Evaluating Shared Bus, Throughput-Oriented Multiprocessors. Search on Bibsonomy SIGMETRICS The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
25Hideo Kikuchi, Takashi Yukawa, Kazumitsu Matsuzawa, Tsutomu Ishikawa Presto: A Bus-Connected Multiprocessor for a Rete-Based Production System. Search on Bibsonomy CONPAR The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
25K. C. Lee A virtual bus for dynamic parallel processing. Search on Bibsonomy SPDP The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
25Vernon Rego A comparison of two token-passing bus protocols. Search on Bibsonomy SIGCOMM The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
25John P. Lehoczky, Lui Sha Performance of Real-Time Bus Scheduling Algorithms. Search on Bibsonomy SIGMETRICS The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
24Armando Astarloa, Jesús Lázaro 0001, Unai Bidarte, Aitzol Zuloaga, Jaime Jimenez DNAX-BCU: An Un-clonable Cost-conscious SoPC Implementation for Bus Coupling Units of the European Installation Bus. Search on Bibsonomy ICDCS Workshops The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
24Maged Ghoneima, Yehea I. Ismail Delayed line bus scheme: a low-power bus scheme for coupled on-chip buses. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF low power, interconnects, buses, coupling capacitance
22Priyalal Kulasinghe, Ahmed El-Amawy On the Complexity of Optimal Bused Interconnections. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1995 DBLP  DOI  BibTeX  RDF interconnection function, bus minimization, interface minimization, computational complexity, optimal design, Multiple bus system
22Anujan Varma, Suresh Chalasani Fault-Tolerance Analysis of One-Sided Crosspoint Switching Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF one-sided crosspoint switching networks, crosspoint faults, multiple-bus interconnection networks, multiple-bus configurations, fault set, nonblocking switch matrix, fault-tolerance, fault tolerant computing, connectivity, multiprocessor interconnection networks, upper bounds, ports, rearrangeable
22Helmar Burkhart, Roland Millen Performance-Measurement Tools in a Multiprocessor Environment. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF synchronization traffic analysis, bus load measurements, performance-measurement tools, monitoring facilities, breakpoint monitor, mailbox monitor, bus monitor, multi-monitor mode, common programming-language interface, integrated monitoring tool, MODULA-2 multiprocessor environment, performance evaluation, user interface, user interfaces, debugging, software tools, multiprocessing systems
22Larry D. Wittie Communication Structures for Large Networks of Microcomputers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1981 DBLP  DOI  BibTeX  RDF Bus topologies, dual-bus hypercubes, extensible interconnections, hypercube spanning buses, microcomputer architectures, parallel computers, distributed computers, network computers, communication structures, cube- connected-cycles
22Subrat Kumar Panda, Arnab Roy 0001, P. P. Chakrabarti 0001, Rajeev Kumar 0004 Simulation-based verification using Temporally Attributed Boolean Logic. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Bus verification, instruction semantics verification, interrupt testing, offline-online verification algorithm, simulation based verification, temporal logic, timing verification
22Liang Zhang 0038, John M. Wilson 0002, Rizwan Bashirullah, Lei Luo 0006, Jian Xu, Paul D. Franzon Driver pre-emphasis techniques for on-chip global buses. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF current sensing, peak current, pre-emphasis, low-power, crosstalk, differential, on-chip bus
22Xinping Zhu, Wei Qin, Sharad Malik Modeling operation and microarchitecture concurrency for communication architectures with application to retargetable simulation. Search on Bibsonomy CODES+ISSS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF on-chip communication architecture, simulator synthesis, multiprocessor system, packet-switching network, design exploration, bus, retargetable simulation
22Claudia Kretzschmar, Robert Siegmund, Dietmar Müller 0001 Low Power Encoding Techniques for Dynamically Reconfigurable Hardware. Search on Bibsonomy J. Supercomput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF transition minimizing bus encoding, low power, dynamic reconfiguration
22Makoto Sugihara, Hiroto Yasuura Optimization of Test Accesses with a Combined BIST and External Test Scheme. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF external test, CBET, test access, external pins, BIST, test scheduling, test time, test bus
22Yasuyuki Mimatsu, Haruo Yokota A performance comparison between the DR-net and a hierarchical RAID system. Search on Bibsonomy PRDC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF disc drives, DR-net, hierarchical RAID system, disk array systems, multiple disk drives, centralized controller, communication path, controller functions, bus setup time, parity calculation load, performance evaluation, scalability, digital simulation, system performance, RAID, performance comparison, scalable architecture, hierarchical system
22Yang Xia, Pranav Ashar Verification of a Combinational Loop Based Arbitration Scheme in a System-On-Chip Integration Architecture. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Combinational Loop, Model Checking, Formal Verification, Temporal Logic, Time Division Multiplexing, Token Ring, Computational Tree Logic, Bus Protocol
22Chun Xia, Josep Torrellas Improving the Data Cache Performance of Multiprocessor Operating Systems. Search on Bibsonomy HPCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF data cache performance, operating system effect on caches, bus-based multiprocessor, address trace evaluation, block operations, latency hiding
22Po-Ching Hsu, Sying-Jyan Wang Testing And Diagnosis Of Board Interconnects In Microprocessor-Based Systems. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF multiple-board system, bus emulator, wiring interconnect, testing, fault detection, diagnosis, microprocessor, printed circuit board, printed circuit testing, hierarchical testing
22L. Bisone, A. Scianna A CAD multiprocessor system for advanced real-time process applications. Search on Bibsonomy PDP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF CAD multiprocessor system, advanced real-time process applications, control diagrams, synoptic pages, control station, symbol editors, parallel VME bus, Field Instrumentation Protocol, real control loops, parallel simulator signals, complex parallel real time architecture, real-time systems, networking, protocols, parallel system, client-server systems, distributed control, trends, automation system, power generation, control system CAD
22Murali Kadiyala, Laxmi N. Bhuyan A dynamic cache sub-block design to reduce false sharing. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF dynamic cache sub-block design, bus traffic, dynamic sub-block coherence protocol, simulation results, memory architecture, cache storage, false sharing, memory protocols
Displaying result #501 - #600 of 14699 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license