The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for VLSI with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1977-1979 (17) 1980 (40) 1981 (58) 1982 (113) 1983 (132) 1984 (194) 1985 (203) 1986 (264) 1987 (183) 1988 (441) 1989 (433) 1990 (424) 1991 (597) 1992 (538) 1993 (701) 1994 (675) 1995 (744) 1996 (687) 1997 (682) 1998 (904) 1999 (1062) 2000 (737) 2001 (840) 2002 (854) 2003 (1024) 2004 (1038) 2005 (1003) 2006 (1127) 2007 (1057) 2008 (832) 2009 (619) 2010 (733) 2011 (612) 2012 (825) 2013 (720) 2014 (871) 2015 (972) 2016 (792) 2017 (683) 2018 (808) 2019 (866) 2020 (730) 2021 (640) 2022 (821) 2023 (712) 2024 (144)
Publication types (Num. hits)
article(5474) book(51) incollection(128) inproceedings(22959) phdthesis(289) proceedings(251)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 15571 occurrences of 3952 keywords

Results
Found 29152 publication records. Showing 29152 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
30Abhijit M. Lele, S. K. Nandy 0001 Architecture of Reconfigurable a Low Power Gigabit AT Switch. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
30Masa-Aki Fukase, Ryusuke Egawa, Tomoaki Sato, Tadao Nakamura Scaling Up Of Wave Pipelines. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
30Gary L. Dare, Charles A. Zukowski Accuracy management for mixed-mode digital VLSI simulation. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
30Arun K. Majumdar, Nirav Patel Design of an ASIC for Straight Line Detection in an Image. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Hough Transform, CORDIC, ASIC Design
30Kolin Paul, Dipanwita Roy Chowdhury Application of GF(2p) CA in Burst Error Correcting Codes. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
30Prabir Dasgupta, Santanu Chattopadhyay, Indranil Sengupta 0001 Cellular Automata Based Deterministic Test Sequence Generator for Sequential Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
30Prabir Dasgupta, Santanu Chattopadhyay, Indranil Sengupta 0001 An ASIC for Cellular Automata Based Message Authentication. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
30Mayukh Bhattacharya, Pinaki Mazumder Convergence Issues in Resonant Tunneling Diode Circuit Simulation. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF negative differential resistance, convergence, SPICE, circuit simulation, resonant tunneling diode, Newton-Raphson
30Russell E. Henning, Chaitali Chakrabarti Relating Data Characteristics to Transition Activity in High-Level Static CMOS Design. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF statistical parameters, high-level synthesis, Low power design, data models, transition activity
30Juha Plosila, Tiberiu Seceleanu Design of Synchronous Action Systems. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
30Shiyou Zhao, Kaushik Roy 0001 Estimation of Switching Noise on Power Supply Lines in Deep Sub-micron CMOS Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF switching noise, Ldi/dt noise, maximum switching current, IR voltage drop
30Ninglong Lu, Ibrahim N. Hajj An Exact Analytical Time-Domain Model Of Distributed RC Interconnects for High Speed Nonlinear Circuit Applications. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
30Michael T. Niemier, Peter M. Kogge Logic in Wire: Using Quantum Dots to Implement a Microprocessor. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
30Patrick Girard 0001, Loïs Guiller, Christian Landrault, Serge Pravossoudovitch A Test Vector Ordering Technique for Switching Activity Reduction During Test Operation. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF test vector ordering, test, low power, switching activity
30Stefan Hendricx, Luc J. M. Claesen Symbolic Multi-Level Verification of Refinement. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
30Jacob Augustine, William E. Lynch, Yuke Wang, Asim J. Al-Khalili Lossy Compression of Images Using Logic Minimization. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
30Bedabrata Pain, Guang Yang 0003, Brita Olson, Timothy Shaw, Monico Ortiz, Julie Heynssens, Chris Wrigley, Charlie Ho A Low-Power Digital Camera-on-a-Chip Implemented in CMOS Active Pixel Approach. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
30Sudhakar Bobba, Ibrahim N. Hajj, Naresh R. Shanbhag Analytical Expressions for Power Dissipation of Macro-blocks in DSP Architectures. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
30Ashutosh Kulkarni, Navin Chander, Soumya Pillai, Lizy Kurian John Modeling and Analysis of The Difference-Bit Cache. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF hit access time, cache mapping strategies*, Cache memory, critical path
30Sudhakar Bobba, Ibrahim N. Hajj Maximum Current Estimation in Programmable Logic Arrays. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF maximum current, PLA
30I. Thoidis, Dimitrios Soudris, Ioannis Karafyllidis, Adonios Thanailakis, Thanos Stouraitis Multiple-Valued Logic Voltage-Mode Storage Circuits Based On True-Single-Phase Clocked Logic. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF voltage-mode latches, voltage-mode master-slave, true-single phase clocked-logic, Multiple-Valued Logic
30Carlo Samori, Andrea L. Lacaita, Alfio Zanchi, P. Vita Design Issues of LC Tuned Oscillators for Integrated Transceivers. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF RF integrated circuits, voltage controlled oscillators
30Bassem A. Alhalabi, Qutaibah M. Malluhi, Rafic A. Ayoubi Non-Refreshing Analog Neural Storage Tailored for On-Chip Learning. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF non-refreshing static storage, on-chip learning neural networks, analog learning
30Pinaki Mazumder, Shriram Kulkarni, Mayukh Bhattacharya, Alejandro F. González Circuit Design using Resonant Tunneling Diodes. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Quantum device, Pipelining, Multiple-Valued Logic, Nanoelectronics, Resonant Tunneling Diode
30C. S. Raghu, S. Sundaram Distributed Logic Simulation Algorithm using Preemption of Inconsistent Events. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF parallel/distributed simulation, conservative logic simulation algorithm, discrete event simulation, Logic simulation
30S. Balajee, Ananta K. Majhi Automated AC (Timing) Characterization for Digital Circuit Testing. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Timing Characterization, STIL, Setup and Hold Time
30S. Srivastava, S. C. Bose, B. P. Mathur, Arti Noor, Raj Singh, A. S. Mandal, K. Prabhakaran, Arindam Karmakar, Chandra Shekhar 0001, Sudhir Kumar, Amit K. Agarwal Evolution of Architectural Concepts and Design Methods of Microprocessors. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Microprocessor Evolution, Synthesis, VHDL, Microprocessor Design
30Priya Patil, Tan-Li Chou, Kaushik Roy 0001, Rabindra Roy Low-Power Driven Logic Synthesis Using Accurate Power Estimation Technique. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
30Eshwar Belani, Ravi Mittal A General Reconfiguration Technique for Fault Tolerant Processor Architectures. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
30R. V. Raj, N. S. Murty, P. S. Nagendra Rao, Lalit M. Patnaik Effective Heuristics for Timing Driven Constructive Placement. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
30Saeid Nooshabadi, Juan A. Montiel-Nelson, G. S. Visweswaran, D. Nagchoudhuri Micropipeline Architecture for Multiplier-less FIR Filters. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
30Ashley Rasquinha, N. Ranganathan C3L: A Chip for Connected Component Labeling. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
30Gagan Hasteer, Prithviraj Banerjee Simulated Annealing Based Parallel State Assignment of Finite State Machines. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
30Jin-Tai Yan An Optimal ILP Formulation for Minimixing the Number of Feedthrough Cells in Standard Cell Placement. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
30Enrico Macii, Massimo Poncino Exact Computation of the Entropy of a Logic Circuit. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
30Luc Desormeaux, Valek Szwarc, John H. Lodge A High-Speed, Real-to-Quadrature Converter with Filtering and Decimation. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
30Mario Alberto López, Dinesh P. Mehta Partitioning Algorithms for Corner Stitching. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
30Arun Balakrishnan, Srimat T. Chakradhar Sequential Circuits with combinational Test Generation Complexity. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
30Ashutosh Singla, Thomas M. Conte Bipartitioning for Hybrid FPGA-Software Simulatio. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
30C. P. Ravikumar, Rajamani Rajarajan Genetic Algorithms for Scan Path Design. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
30Jatan C. Shah, Sachin S. Sapatnekar Wiresizing with Buffer Placement and Sizing for Power-Delay Tradeoffs. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF power-delay tradeoffs, dynamic programming, Interconnect, sensitivity, buffer, sizing, repeaters, drivers
30Natesan Venkateswaran, Dinesh Bhatia Clock-Skew Constrained Cell Placement. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
30Jin-Tai Yan An Efficient Heuristic Approach on Minimizing the Number of Feedthrough Cells in Standard Cell Placement. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
30Alexandre R. S. Romariz, P. U. A. Ferreira, J. V. Campêlo Jr., Marcio L. Graciano Jr., José C. da Costa Design of a Hybrid Digital-Analog Neural Co-Processor for Signal Processing. Search on Bibsonomy EUROMICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF hybrid digital-analog neural co-processor, digitally-controlled multiplexing, CMOS analog circuits, VLSI, signal processing, VLSI design, multilayer perceptrons, VLSI implementation, hybrid architecture, capacitors, analog multipliers
29Yongji Jiang, Garrett S. Rose A dual-MOSFET equivalent resistor thermal sensor. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF integrated circuits, dynamic thermal management, vlsi, temperature sensors
29Ivan D. Castellanos, James E. Stine Compressor trees for decimal partial product reduction. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF VLSI, decimal arithmetic
29Vijay Nagarajan, Stefan Laendner, Nikhil Jayakumar, Olgica Milenkovic, Sunil P. Khatri High-throughput VLSI Implementations of Iterative Decoders and Related Code Construction Problems. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF code construction, fully-parallel VLSI implementation, network of PLAs, iterative decoding, low-density parity-check codes
29C. Hess, Markus Wenk, Andreas Burg, Peter Luethi, Christoph Studer, Norbert Felber, Wolfgang Fichtner Reduced-complexity mimo detector with close-to ml error rate performance. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF FSD, VLSI, MIMO, sphere decoding
29Zhan Guo, Peter Nilsson 0001 A VLSI Architecture of the Square Root Algorithm for V-BLAST Detection. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF square root algorithm, VLSI, wireless LAN, ASIC, MIMO, fixed-point, 3G, HSDPA, CORDIC, BLAST
29Chao-Tsung Huang, Po-Chih Tseng, Liang-Gee Chen VLSI Architecture for Lifting-Based Shape-Adaptive Discrete Wavelet Transform with Odd-Symmetric Filters. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF shape-adaptive, boundary extension, discrete wavelet transform, VLSI architecture
29Wonyong Sung, Youngho Ahn, Eunjoo Hwang VLSI Implementation of An Adaptive Equalizer for ATSC Digital TV Receivers. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF adaptive equalizer, HDTV receiver, VSB modulation, VLSI implementation
29Shyamkumar Thoziyoor, Jay B. Brockman, Daniel Rinzler PIM lite: a multithreaded processor-in-memory prototype. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF multithreading, VLSI design, processing-in-memory
29Bo-Sung Kim, Jun Dong Cho Maximizing memory data reuse for lower power motion estimation. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2000 DBLP  DOI  BibTeX  RDF low power VLSI, motion estimation, MPEG-2
29Peter M. Kuhn Fast MPEG-4 Motion Estimation: Processor Based and Flexible VLSI Implementations. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF algorithm, VLSI, motion estimation, MPEG-4, processor, complexity analysis
29Roderick McConnell, Dominique Lavenier Prototyping of VLSI components from a formal specification. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Synchronous Data Flow, Formal Specification, VLSI
29John A. Canaris A VLSI architecture for the real time computation of discrete trigonometric transforms. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF discrete trigonometric transforms, Goertzel's algorithm, Discrete cosine transform, VLSI architecture
29Sy-Yen Kuo, Kuochen Wang Fault diagnosis in reconfigurable VLSI and WSI processor arrays. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF design for diagnosability, VLSI/WSI processor array, fault diagnosis, reconfiguration, yield enhancement
27Ulrich Schmid 0001 Keynote: Distributed Algorithms and VLSI. Search on Bibsonomy SSS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Chih-Cheng Lu, C. C. Li, Hsin Chen How Robust Is a Probabilistic Neural VLSI System Against Environmental Noise. Search on Bibsonomy ANNPR The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Maolin Tang, Xin Yao 0001 A Memetic Algorithm for VLSI Floorplanning. Search on Bibsonomy IEEE Trans. Syst. Man Cybern. Part B The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Giacomo Indiveri, Stefano Fusi Spike-based learning in VLSI networks of integrate-and-fire neurons. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Korrai Deergha Rao, Ch. Gangadhar VLSI Realization of Adaptive Equalizers of SIMO FIR Second Order Volterra Channels. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Wu Jigang, Thambipillai Srikanthan, Heiko Schröder Efficient reconfigurable techniques for VLSI arrays with 6-port switches. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Amardeep Singh, Lalit M. Bharadwaj, Singh Harpreet DNA and quantum based algorithms for VLSI circuits testing. Search on Bibsonomy Nat. Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF DNA algorithm, genetic algorithms, neural networks, ATPG, quantum computation, quantum algorithm
27Chuan-Yu Cho, Shiang-Yang Huang, Jeng-Neng Hwang, Jia-Shung Wang An embedded merging scheme for VLSI implementation of H.264/AVC motion estimation modules. Search on Bibsonomy ICIP (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Jin Jie, Chi-Ying Tsui, Wai Ho Mow A threshold-based algorithm and VLSI architecture of a K-best lattice decoder for MIMO systems. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Sadiq M. Sait, Aiman H. El-Maleh, Raslan H. Al-Abaji General iterative heuristics for VLSI multiobjective partitioning. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Hideki Yamauchi, Yoshinori Takeuchi, Masaharu Imai VLSI Implementation of Fractal Image Compression Processor for Moving Pictures. Search on Bibsonomy EUROMICRO The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
27Mario Alberto López, Ravi Janardan, Sartaj K. Sahni Efficient net extraction for restricted orientation designs [VLSI layout]. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
27Ramesh Karri, Karin Högstedt, Alex Orailoglu Computer-Aided Design of Fault-Tolerant VLSI Systems. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Fault-Tolerance, CAD, High Level Synthesis
27Wai-Chi Fang, Chi-Yung Chang, Bing J. Sheu, Oscal T.-C. Chen, John C. Curlander VLSI systolic binary tree-searched vector quantizer for image compression. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
27Juin-Yeu Lu, Shiu-Kai Chin Linking HOL to a VLSI CAD System. Search on Bibsonomy HUG The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
27Marián Vajtersic A VLSI Multigrid Poisson Solver Amenable to Biharmonic Equation. Search on Bibsonomy CONPAR The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
27Anthony F. Hutchings, Richard J. Bonneau, William M. Fisher Integrated VLSI CAD systems at Digital Equipment Corporation. Search on Bibsonomy DAC The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
27Dave Johnson 0001, Dave Budde, Dave Carson, Craig Peterson Intel iAPX 432: VLSI building blocks for a fault-tolerant computer. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1983 DBLP  DOI  BibTeX  RDF
27Kemal Oflazer A reconfigurable VLSI architecture for a database processor. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1983 DBLP  DOI  BibTeX  RDF
27Qi Huang, Xiaoping Chen, Bingfeng Wang, Ronghai Cai, Kaiyu Qin The Concept of Computing on Chip (CoC) for Electric Power System Application. Search on Bibsonomy PARELEC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF CoC, VLSI, SOC, Power System, Transient Simulation
27Zahid Khan, John S. Thompson, Tughrul Arslan, Ahmet T. Erdogan Enhanced Dual Strategy based VLSI Architecture for Computing Pseudo Inverse of Channel Matrix in a MIMO Wireless System. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Markus Ferringer, Gottfried Fuchs, Andreas Steininger, Gerald Kempf VLSI Implementation of a Fault-Tolerant Distributed Clock Generation. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Hasan Arslan, Shantanu Dutt A Depth-First-Search Controlled Gridless Incremental Routing Algorithm for VLSI Circuits. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Atul Maheshwari, Israel Koren, Wayne P. Burleson Techniques for Transient Fault Sensitivity Analysis and Reduction in VLSI Circuits. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Robert W. Brodersen System-on-a-Chip VLSI - Is It Finally Really Here? Search on Bibsonomy ARVLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
27Timothy K. Horiuchi, Ernst Niebur Conjunction Search Using a 1-D, Analog VLSI-based, Attentional Search/Tracking Chip. Search on Bibsonomy ARVLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
27Stephen P. DeWeerth, Girish N. Patel, Mario F. Simoni, David E. Schimmel, Ronald L. Calabrese A VLSI Architecture for Modeling Intersegmental Coordination. Search on Bibsonomy ARVLSI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
27Jenq-Neng Hwang, Sun-Yuan Kung Parallel algorithms/architectures for neural networks. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
26Giacomo Indiveri, Elisabetta Chicca, Rodney J. Douglas Artificial Cognitive Systems: From VLSI Networks of Spiking Neurons to Neuromorphic Cognition. Search on Bibsonomy Cogn. Comput. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Neuromorphic engineering, Spike-based learning, Winner-take-all, Soft WTA, VLSI, Cognition
26Fong-Ming Shyu, Po-Hsun Cheng, Sao-Jie Chen Using XML for VLSI Physical Design Automation. Search on Bibsonomy ICA3PP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF VLSI Physical Design, Web Service, XML, Parallel Architecture, Object-Oriented Architecture
26Hannu Olkkonen, Juuso T. Olkkonen Simplified biorthogonal discrete wavelet transform for VLSI architecture design. Search on Bibsonomy Signal Image Video Process. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Biorthogonal discrete wavelet transform, VLSI, Lifting scheme
26Saeedeh Bakhshi, Hamid Sarbazi-Azad Efficient VLSI Layout of Edge Product Networks. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Edge graph product, Collinear layout, Interconnection networks, Networks on chip, VLSI layout
26Debasri Saha, Susmita Sur-Kolay Fast Robust Intellectual Property Protection for VLSI Physical Design. Search on Bibsonomy ICIT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF fingerprint- ing, VLSI physical design, watermarking, Intellectual property, electronic design automation
26Chien-Min Ou, Huang-Chun Roan, Wen-Jyi Hwang Fractional Full-Search Motion Estimation VLSI Architecture for H.264/AVC. Search on Bibsonomy PSIVT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Fractional motion estimation, H.264 standard, Video coding, VLSI architecture
26Dian Zhou, Ruiming Li Design and Verification of High-Speed VLSI Physical Design. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF floorplanning and placement, order reduction, parameter extraction, VLSI, delay, interconnect, power, physical design, buffer insertion, power grid, clock distribution, wire sizing
26Charles J. Alpert, Andrew B. Kahng, Gi-Joon Nam, Sherief Reda, Paul Villarrubia A semi-persistent clustering technique for VLSI circuit placement. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF VLSI placement, physical design, hypergraph clustering
26David H. Goldberg, Andreas G. Andreou, Pedro Julián, Philippe O. Pouliquen, Laurence Riddle, Rich Rosasco A wake-up detector for an acoustic surveillance sensor network: algorithm and VLSI implementation. Search on Bibsonomy IPSN The full citation details ... 2004 DBLP  DOI  BibTeX  RDF acoustic surveillance, wake-up detection, sensor networks, power management, maximum likelihood estimation, periodicity, VLSI implementation
26Wu Jigang, Thambipillai Srikanthan Finding High Performance Solution in Reconfigurable Mesh-Connected VLSI Arrays. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Degradable VLSI array, fault-tolerance, reconfiguration, NP-completeness, heuristic algorithm
26Wu Jigang, Thambipillai Srikanthan On the Reconfiguration Algorithm for Fault-Tolerant VLSI Arrays. Search on Bibsonomy International Conference on Computational Science The full citation details ... 2003 DBLP  DOI  BibTeX  RDF degradable VLSI array, fault-tolerance, reconfiguration, NP-completeness, greedy algorithm
26Chih-Wen Lu, Chung-Len Lee 0001, Chauchin Su, Jwu-E Chen Analysis of Application of the IDDQ Technique to the Deep Sub-Micron VLSI Testing. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF VLSI, IDDQ testing, deep sub-micron
26Parthasarathi Dasgupta, Peichen Pan, Subhas C. Nandy, Bhargab B. Bhattacharya Monotone bipartitioning problem in a planar point set with applications to VLSI. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Complexity of algorithms, routing, very large scale integration (VLSI), partitioning, floorplanning
26Yasushi Yuminaka, Tatsuya Morishita, Takafumi Aoki, Tatsuo Higuchi 0001 Multiple-Valued Data Recovery Techniques for Band-Limited Channels in VLSI. Search on Bibsonomy ISMVL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF new paradigm computing, high-speed signaling, code-division multiple access, equalization, VLSI systems
26Chor Ping Low An Efficient Reconfiguration Algorithm for Degradable VLSI/WSI Arrays. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Degradable VLSI/WSI arrays, efficient heuristic, NP-completeness, greedy algorithm
Displaying result #501 - #600 of 29152 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license