The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase design-for-testability (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1980-1985 (18) 1986-1988 (24) 1989-1990 (22) 1991 (15) 1992-1993 (29) 1994 (17) 1995 (69) 1996 (37) 1997 (31) 1998 (22) 1999 (29) 2000 (36) 2001 (26) 2002 (24) 2003 (22) 2004 (25) 2005 (23) 2006 (23) 2007 (16) 2008 (26) 2009-2011 (18) 2012-2014 (15) 2015-2018 (15) 2019-2024 (15)
Publication types (Num. hits)
article(216) inproceedings(376) phdthesis(5)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1465 occurrences of 458 keywords

Results
Found 597 publication records. Showing 597 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
10Ming Zhang 0017, Subhasish Mitra, T. M. Mak, Norbert Seifert, Nicholas J. Wang, Quan Shi, Kee Sup Kim, Naresh R. Shanbhag, Sanjay J. Patel Sequential Element Design With Built-In Soft Error Resilience. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Subhasish Mitra, Norbert Seifert, Ming Zhang 0017, Quan Shi, Kee Sup Kim Subhasish Mitra, Norbert Seifert, Ming Zhang, Quan Shi, Kee Sup Kim. Search on Bibsonomy Computer The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Mohsen Nahvi, André Ivanov Indirect test architecture for SoC testing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Erik Jan Marinissen Security vs. Test Quality: Can We Really Only Have One at a Time? Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Hiroyuki Yotsuyanagi, Toshimasa Kuchii, Shigeki Nishikawa, Masaki Hashizume, Kozo Kinoshita On Configuring Scan Trees to Reduce Scan Shifts based on a Circuit Structure. Search on Bibsonomy DELTA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Jin-Fu Li 0001, Hsin-Jung Huang, Jeng-Bin Chen, Chih-Pin Su, Cheng-Wen Wu, Chuang Cheng, Shao-I Chen, Chi-Yi Hwang, Hsiao-Ping Lin A Hierarchical Test Scheme for System-On-Chip Designs. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Benoit Baudry, Yves Le Traon, Gerson Sunyé, Jean-Marc Jézéquel Towards a 'Safe' Use of Design Patterns to Improve OO Software Testability. Search on Bibsonomy ISSRE The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Jacob Savir Distributed BIST Architecture to Combat Delay Faults. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF BIST, LFSR, delay test, MISR, LSSD, SRL
10Kamran Zarrineh, Vivek Chickermane, Gareth Nicholls, Mike Palmer A Design For Test Perspective on I/O Management. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF I/O pads, High Level Synthesis, Design For Test, Boundary Scan
10Arun Balakrishnan, Srimat T. Chakradhar Sequential Circuits with combinational Test Generation Complexity. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
10Jing-Jou Tang, Kuen-Jong Lee, Bin-Da Liu A practical current sensing technique for IDDQ testing. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
10Hakim Bederr, Michael Nicolaidis, Alain Guyot Analytic approach for error masking elimination in on-line multipliers. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1995 DBLP  DOI  BibTeX  RDF error masking elimination, online multipliers, high precision numbers, scan design approach, internal state observability, DFT approach, sequential circuits, digital arithmetic, fault coverage, multiplying circuits, area overhead
10I. D. Dear, Chryssa Dislis, Anthony P. Ambler, J. H. Dick Economic Effects in Design and Test. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
9Shibaji Banerjee, Debdeep Mukhopadhyay, C. V. G. Rao, Dipanwita Roy Chowdhury An integrated DFT solution for mixed-signal SOCs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Josef Strnadel, Zdenek Kotásek SET: Interactive Tool for Learning and Training Scan-Based DFT Principles and Their Consequences to Parameters of Embedded System. Search on Bibsonomy ECBS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Petr Fiser, Hana Kubátová Flexible Two-Level Boolean Minimizer BOOM-II and Its Applications. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Swarup Bhunia, Hamid Mahmoodi-Meimand, Arijit Raychowdhury, Kaushik Roy 0001 A Novel Low-overhead Delay Testing Technique for Arbitrary Two-Pattern Test Application. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Yu-Ting Lin, Tony Ambler An Economic Selecting Model for DFT Strategies. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Ramyanshu Datta, Ravi Gupta, Antony Sebastine, Jacob A. Abraham, Manuel A. d'Abreu Tri-Scan: A Novel DFT Technique for CMOS Path Delay Fault Testing. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Yuejian Wu, Paul N. MacDonald Testing ASICs with multiple identical cores. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Andrzej Rucinski 0002, Barrett Stetson, S. T. P. Brundavani A DOT1 & DOT4 MOSIS - Compatible Library. Search on Bibsonomy MSE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Kaamran Raahemifar, Majid Ahmadi A new initialization technique for asynchronous circuits. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Dong Xiang, Hideo Fujiwara Handling the pin overhead problem of DFTs for high-quality and at-speed tests. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Nahmsuk Oh, Rohit Kapur, Thomas W. Williams Fast seed computation for reseeding shift register in test pattern compression. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Angela Krstic, Wei-Cheng Lai, Kwang-Ting Cheng, Li Chen, Sujit Dey Embedded software-based self-testing for SoC design. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF functional test, SoC test, VLSI test, microprocessor test
9José Vicente Calvano, Antonio Carneiro de Mesquita Filho, Vladimir Castro Alves, Marcelo Lubaszewski Fault Models and Test Generation for OpAmp Circuits - The FFM. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF test generation, fault model, analog test, operational amplifiers
9Jay Bedsole, Rajesh Raina, Al Crouch, Magdy S. Abadir Very Low Cost Testers: Opportunities and Challenges. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
9Debesh Kumar Das, Bhargab B. Bhattacharya, Satoshi Ohtake, Hideo Fujiwara Testable Design of Sequential Circuits with Improved Fault Efficiency. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
9Alessandro Bogliolo, Michele Favalli, Maurizio Damiani Enabling testability of fault-tolerant circuits by means of IDDQ-checkable voters. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
9Yervant Zorian, Michael Nicolaidis, Peter Muhmenthaler, David Y. Lepejian, Chris W. H. Strolenberg, Kees Veelenturf Tutorial Statement. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
9Marina Santo Zarnik, Franc Novak, Srecko Macek Design for Test of Crystal Oscillators: A Case Study. Search on Bibsonomy J. Electron. Test. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF crystal oscillators, fault diagnosis, analog circuits, design-for-test
9Thomas E. Marchok, Wojciech Maly Modeling the Difficulty of Sequential Automatic Test Pattern Generation. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
9Elizabeth M. Rudnick, Vivek Chickermane, Janak H. Patel An observability enhancement approach for improved testability and at-speed test. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
9Paolo Camurati, Marco Gilli, Paolo Prinetto, Matteo Sonza Reorda The Use of Model Checking in ATPG for Sequential Circuits. Search on Bibsonomy CAV The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
9Paolo Camurati, Paolo Gianoglio, Renato Gianoglio, Paolo Prinetto ESTA: an expert system for DFT rule verification. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
8Scott Davidson 0001 A second course on testing [review of System on Chip Test Architectures (Wang, L.-T et al., Eds.; 2007)]. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
8Lyl M. Ciganda, Francesco Abate, Paolo Bernardi, M. Bruno, Matteo Sonza Reorda An enhanced FPGA-based low-cost tester platform exploiting effective test data compression for SoCs. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
8Ramyanshu Datta, Ravi Gupta, Antony Sebastine, Jacob A. Abraham, Manuel A. d'Abreu Controllability of Static CMOS Circuits for Timing Characterization. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Design for test, Delay fault testing, Scan design
8Newton Lee A word from the editor. Search on Bibsonomy Comput. Entertain. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
8 Keith Baker's presentation: November 17, 2007. Search on Bibsonomy Comput. Entertain. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
8Po-Han Wu, Tsung-Tang Chen, Wei-Lin Li, Jiann-Chyi Rau An efficient test-data compaction for low power VLSI testing. Search on Bibsonomy EIT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
8Masood ul-Hasan, Yichuang Sun, Xi Zhu 0001, James Moritz Oscillation-based DFT for second-order OTA-C filters. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
8Fei Wang, Yu Hu 0001, Xiaowei Li 0001 Adaptive Diagnostic Pattern Generation for Scan Chains. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF very large scale integration (VLSI), testing, diagnosis, Boolean satisfiability, scan chain
8Erkan Acar, Sule Ozev Go/No-Go Testing of VCO Modulation RF Transceivers Through the Delayed-RF Setup. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
8Paolo Bernardi, Michelangelo Grosso, Maurizio Rebaudengo, Matteo Sonza Reorda A System-layer Infrastructure for SoC Diagnosis. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF SoC diagnosis, Processor and UDL logic self-testing, Memory, Infrastructure-IP
8V. R. Devanathan, C. P. Ravikumar, V. Kamakoti 0001 Reducing SoC Test Time and Test Power in Hierarchical Scan Test : Scan Architecture and Algorithms. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
8Kentaroh Katoh, Hideo Ito Built-In Self-Test for PEs of Coarse Grained Dynamically Reconfigurable Devices. Search on Bibsonomy ETS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Coarse Grained Dynamically Reconfigurable Devices, DRP, BIST(Built-In Self Test), PE, DFT
8Kun Young Chung, Sandeep K. Gupta 0001 Low-Cost Scan-Based Delay Testing of Latch-Based Circuits with Time Borrowing. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8Hari Vijay Venkatanarayanan, Michael L. Bushnell An Area Efficient Mixed-Signal Test Architecture for Systems-on-a-Chip. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8Masood ul-Hasan, Yichuang Sun Oscillation-based Test Method for Continuous-time OTA-C Filters. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8Xiaoming Yu, Miron Abramovici Sequential circuit ATPG using combinational algorithms. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8Artur Jutman, Jaan Raik, Raimund Ubar, V. Vislogubov An Educational Environment for Digital Testing: Hardware, Tools, and Web-Based Runtime Platform. Search on Bibsonomy DSD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8Yu-Chun Dawn, Jen-Chieh Yeh, Cheng-Wen Wu, Chia-Ching Wang, Yung-Chen Lin, Chao-Hsun Chen Flash Memory Die Sort by a Sample Classification Method. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF die sort, test flow, wafer probe, flash memory, memory testing
8Min-Hao Chiu, Chien-Mo James Li Jump Scan: A DFT Technique for Low Power Testing. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8Michele Favalli, Cecilia Metra TMR voting in the presence of crosstalk faults at the voter inputs. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Chih-Tsun Huang, Jen-Chieh Yeh, Yuan-Yuan Shih, Rei-Fu Huang, Cheng-Wen Wu On Test and Diagnostics of Flash Memories. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Hao-Chiao Hong, Cheng-Wen Wu, Kwang-Ting Cheng A Signa-Delta Modulation Based Analog BIST System with a Wide Bandwidth Fifth-Order Analog Response Extractor for Diagnosis Purpose. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Jin-Fu Li 0001, Chih-Chiang Hsu Efficient Test Methodologies for Conditional Sum Adders. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Sule Ozev, Christian Olgaard Wafer-level RF Test and DfT for VCO Modulating Transceiver Architecures. Search on Bibsonomy VTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Rodger Schuttert, D. C. L. (Erik) van Geest, A. Kumar On-Chip Mixed-Signal Test Structures Re-used for Board Test. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Shyue-Kung Lu, Chien-Hung Yeh, Han-Wen Lin Efficient Built-in Self-Test Techniques for Memory-Based FFT Processors. Search on Bibsonomy PRDC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Muhammad Nummer, Manoj Sachdev A DFT Technique for Testing High-Speed Circuits with Arbitrarily Slow Testers. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF high-performance testing, controlled-delay flip-flop, built-in self test, delay-fault testing, design for delay testability
8Sunwoong Yang, MoonJoon Kim, JaeHeung Park, Hoon Chang A Study on Insuring the Full Reliability of Finite State Machine. Search on Bibsonomy ICCSA (2) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
8Irith Pomeranz, Sudhakar M. Reddy, Yervant Zorian A Test Interface for Built-In Test of Non-Isolated Scanned Cores. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
8Kun Young Chung, Sandeep K. Gupta 0001 Structural Delay Testing of Latch-based High-speed Pipelines with Time Borrowing. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
8Srivaths Ravi 0001, Ganesh Lakshminarayana, Niraj K. Jha High-level test compaction techniques. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
8Atlaf Ul Amin, Satoshi Ohtake, Hideo Fujiwara Design for Two-Pattern Testability of Controller-Data Path Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
8Chee-Kian Ong, Kwang-Ting (Tim) Cheng Self-Testing Second-Order Delta-Sigma Modulators Using Digital Stimulus. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
8Hideyuki Ichihara, Tomoo Inoue Generating Small Test Sets for Test Compression/Decompression Scheme Using Statistical Coding. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF statistical code, test generation, ATE, test compression, test compaction
8Irith Pomeranz, Y. Zonan Testing of scan circuits containing nonisolated random-logic legacycores. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
8Antonio Zenteno, Víctor H. Champac, Joan Figueras Detectability Conditions of Full Opens in the Interconnections. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF logic testing, IDDQ testing, opens, defect modeling
8Muhammad Nummer, Manoj Sachdev A Methodology for Testing High-Performance Circuits at Arbitrarily Low Test Frequency. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF high-performance testing, controlled-delay flip-flop, built-in self test, Delay-fault testing, design for delay testability
8Antonio Zenteno, Víctor H. Champac Resistive Opens in a Class of CMOS Latches: Analysis and DFT. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
8Franco Fummi, Donatella Sciuto A Hierarchical Test Generation Approach for Large Controllers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF hierarchical FSM, sequential circuits, automatic test pattern generation, Functional testing, functional fault model
8Luis Basto First Results of ITC'99 Benchmark Circuits. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
8Ralph Mason, Shing Ma Mixed Signal DFT at GHz Frequencies. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
8Michael Redeker, Bruce F. Cockburn, Duncan G. Elliott Fault Models and Tests for a 2-Bit-per-Cell MLDRAM. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
8Andreas Lechner, J. Ferguson, Andrew Richardson 0001, B. Hermes A Digital Partial Built-In Self-Test for a High Performance Automatic Gain Control Circuit . Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
8Kaamran Raahemifar, Majid Ahmadi On-line IDDQ fault testing for CMOS/BiCMOS logic families. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
8R. Scott Fetherston, Imtiaz P. Shaik, Siyad C. Ma Testability Features of the AMD-K6 Microprocessor. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
8José M. Miranda A BIST and Boundary-Scan Economics Framework. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
8Yoshinobu Higami, Kozo Kinoshita Design of partially parallel scan chain. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
8Hassan Ihs, Christian Dufaza Test synthesis for DC test of switched-capacitors circuits. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
8Karim Arabi, Bozena Kaminska Parametric and Catastrophic Fault Coverage of Analog Circuits in Oscillation-Test Methodology. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Oscillation-Test Method, Parametric Fault Coverage, Analog Testing, Mixed-Signal Circuits
8Richard M. Sedmak, John S. Evans Spanning the Product Life Cycle: RASSP DFT. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
8Yoshinobu Higami, Seiji Kajihara, Kozo Kinoshita Partially Parallel Scan Chain for Test Length Reduction by Using Retiming Technique. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
8Roberto Bevacqua, Luca Guerrazzi, Fabrizio Ferrandi, Franco Fummi Implicit Test Sequences Compaction for Decreasing Test Application Cos. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
8Michel Renovell, P. Huc, Yves Bertrand Bridging fault coverage improvement by power supply control. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF bridging fault coverage, power supply control, resistance interval, faulty value, VLSI, VLSI, fault diagnosis, logic testing, integrated circuit testing, automatic testing, logic circuits, parametric model, benchmark circuits
8Shyue-Kung Lu, Jen-Chuan Wang, Cheng-Wen Wu C-testable design techniques for iterative logic arrays. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
8Kewal K. Saluja On-chip testing of random access memories. Search on Bibsonomy J. Electron. Test. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF BIST RAM, reconfigured random access memories, test parallelism, Built-In Self-Test, pattern sensitive faults, test architectures, RAM testing
8Steve Vinoski RISE++: A Symbolic Environment for Scan-Based Testing. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
8Bernhard Eschermann, Hans-Joachim Wunderlich Optimized synthesis techniques for testable sequential circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
8Rajiv Gupta 0002, Rajagopalan Srinivasan, Melvin A. Breuer Reorganizing Circuits to Aid Testability. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
8Peter D. Hortensius, Robert D. McLeod, Werner Pries, D. Michael Miller, Howard C. Card Cellular automata-based pseudorandom number generators for built-in self-test. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
8Sudipta Bhawmik, Parimal Pal Chaudhuri DFTEXPERT: An Expert System for Design of Testable VLSI Circuits. Search on Bibsonomy IEA/AIE (Vol. 1) The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
8S. Koeppe Optimal Layout to Avoid CMOS Stuck-Open Faults. Search on Bibsonomy DAC The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
8Helmut K. Berg, Prakash Rao, Bruce D. Shriver Firmware quality assurance. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1982 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #597 of 597 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license