|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 3194 occurrences of 1627 keywords
|
|
|
Results
Found 5730 publication records. Showing 5730 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
16 | Luca Larcher, Paolo Pavan, Alfonso Maurelli |
Flash Memories for SoC: An Overview on System Constraints and Technology Issues, invited. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWSOC ![In: Proceedings of the 5th IEEE International Workshop on System-on-Chip for Real-Time Applications (IWSOC 2005), 20-24 July 2004, Banff, Alberta, Canada, pp. 73-77, 2005, IEEE Computer Society, 0-7695-2403-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
16 | Petru Cascaval, Stuart Bennett, Corneliu Hutanu |
Efficient March Tests for a Reduced 3-Coupling and 4-Coupling Faults in Random-Access Memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 20(3), pp. 227-243, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
fault simulation, memory testing, march test, coupling faults, functional faults |
16 | S. T. Wang, H. J. Lu |
On new fuzzy morphological associative memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Fuzzy Syst. ![In: IEEE Trans. Fuzzy Syst. 12(3), pp. 316-323, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
16 | Malay K. Ganai, Aarti Gupta, Pranav Ashar |
Efficient Modeling of Embedded Memories in Bounded Model Checking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CAV ![In: Computer Aided Verification, 16th International Conference, CAV 2004, Boston, MA, USA, July 13-17, 2004, Proceedings, pp. 440-452, 2004, Springer, 3-540-22342-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
16 | David Elléouet, Nathalie Julien, Dominique Houzet, Jean-Gabriel Cousin, Eric Martin 0001 |
Power Consumption Characterization and Modeling of Embedded Memories in XILINX VIRTEX 400E FPGA. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSD ![In: 2004 Euromicro Symposium on Digital Systems Design (DSD 2004), Architectures, Methods and Tools, 31 August - 3 September 2004, Rennes, France, pp. 394-401, 2004, IEEE Computer Society, 0-7695-2203-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
16 | Kae-Jiun Mo, Shao-Sheng Yang, Tsin-Yuan Chang |
Timing measurement unit with multi-stage TVC for embedded memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, Yokohama, Japan, January 27-30, 2004, pp. 565-566, 2004, IEEE Computer Society, 0-7803-8175-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
16 | Bohdana Ratitch, Doina Precup |
Sparse Distributed Memories for On-Line Value-Based Reinforcement Learning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECML ![In: Machine Learning: ECML 2004, 15th European Conference on Machine Learning, Pisa, Italy, September 20-24, 2004, Proceedings, pp. 347-358, 2004, Springer, 3-540-23105-6. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
16 | Yervant Zorian |
Investment vs. Yield Relationship for Memories in SOC. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: Proceedings 2004 International Test Conference (ITC 2004), October 26-28, 2004, Charlotte, NC, USA, pp. 1444, 2004, IEEE Computer Society, 0-7803-8581-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
16 | Karl Thaller, Andreas Steininger |
A transparent online memory test for simultaneous detection of functional faults and soft errors in memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Reliab. ![In: IEEE Trans. Reliab. 52(4), pp. 413-422, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
16 | Jin-Fu Li 0001, Ruey-Shing Tzeng, Cheng-Wen Wu |
Testing and Diagnosis Methodologies for Embedded Content Addressable Memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 19(2), pp. 207-215, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
march test algorithm, memory diagnostics, BIST, memory testing, CAM |
16 | Dirk Niggemeyer, Elizabeth M. Rudnick |
A data acquisition methodology for on-chip repair of embedded memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 8(4), pp. 560-576, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
column failures, on-chip repair, built-in self-test, Diagnosis, memory test, march tests, embedded memory, coupling faults |
16 | Manuel Graña, Josune Gallego, Francisco Javier Torrealdea, Alicia D'Anjou |
On the Application of Associative Morphological Memories to Hyperspectral Image Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWANN (2) ![In: Artificial Neural Nets Problem Solving Methods, 7th International Work-Conference on Artificial and Natural Neural Networks, IWANN2003, Maó, Menorca, Spain, June 3-6, 2003 Proceedings, Part II, pp. 567-574, 2003, Springer, 3-540-40211-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
16 | Mohammad Gh. Mohammad, Kewal K. Saluja |
Stress Test for Disturb Faults in Non-Volatile Memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 12th Asian Test Symposium (ATS 2003), 17-19 November 2003, Xian, China, pp. 384-389, 2003, IEEE Computer Society, 0-7695-1951-2. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
16 | Chin-Lung Su, Rei-Fu Huang, Cheng-Wen Wu |
A Processor-Based Built-In Self-Repair Design for Embedded Memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 12th Asian Test Symposium (ATS 2003), 17-19 November 2003, Xian, China, pp. 366-371, 2003, IEEE Computer Society, 0-7695-1951-2. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
16 | Antonio Martí Campoy, Sergio Sáez, Angel Perles, J. V. Busquets |
Schedulability Analysis in EDF Scheduler with Cache Memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTCSA ![In: Real-Time and Embedded Computing Systems and Applications, 9th International Conference, RTCSA 2003, Tainan, Taiwan, February 18-20, 2003. Revised Papers, pp. 328-341, 2003, Springer, 3-540-21974-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
16 | Bai Hong Fang, Qiang Xu 0001, Nicola Nicolici |
Hardware/Software Co-testing of Embedded Memories in Complex SOCs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2003 International Conference on Computer-Aided Design, ICCAD 2003, San Jose, CA, USA, November 9-13, 2003, pp. 599-606, 2003, IEEE Computer Society / ACM, 1-58113-762-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
16 | Alvaro E. Arenas, Gareth Barrera-Sanabria |
Modelling Intelligent Agents for Organisational Memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
KES ![In: Knowledge-Based Intelligent Information and Engineering Systems, 7th International Conference, KES 2003, Oxford, UK, September 3-5, 2003, Proceedings, Part I, pp. 430-437, 2003, Springer, 3-540-40803-7. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
MAS-CommonKADS, Knowledge Management, Agent-Oriented Software Engineering, Organisational Memory |
16 | Sultan M. Al-Harbi, Sandeep K. Gupta 0001 |
Generating Complete and Optimal March Tests for Linked Faults in Memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 21st IEEE VLSI Test Symposium (VTS 2003), 27 April - 1 May 2003, Napa Valley, CA, USA, pp. 254-266, 2003, IEEE Computer Society, 0-7695-1924-5. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
16 | Olivier Caty, Ismet Bayraktaroglu, Amitava Majumdar 0002, Richard Lee, John Bell, Lisa Curhan |
Instruction Based BIST for Board/System Level Test of External Memories and Internconnects. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: Proceedings 2003 International Test Conference (ITC 2003), Breaking Test Interface Bottlenecks, 28 September - 3 October 2003, Charlotte, NC, USA, pp. 961-970, 2003, IEEE Computer Society, 0-7803-8106-8. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
16 | Vera Damazio, Pablo Dias |
www.a.site.for.things-that.bring.back.memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DPPI ![In: Proceedings of the 2003 International Conference on Designing Pleasurable Products and Interfaces, 2003, Pittsburgh, PA, USA, June 23-26, 2003, pp. 138-139, 2003, ACM, 1-58113-652-8. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
social memory, emotional response, affective design, material culture |
16 | Kuo-Liang Cheng, Ming-Fu Tsai, Cheng-Wen Wu |
Neighborhood pattern-sensitive fault testing and diagnostics for random-access memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 21(11), pp. 1328-1336, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
16 | Jin-Fu Li 0001, Ruey-Shing Tzeng, Cheng-Wen Wu |
Diagnostic Data Compression Techniques for Embedded Memories with Built-In Self-Test. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 18(4-5), pp. 515-527, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
Hamming syndrome, memory diagnostics, data compression, built-in self-test (BIST), system-on-chip, memory testing, Huffman code, March test |
16 | Frank K. H. A. Dehne, Stefano Mardegan, Andrea Pietracaprina, Giuseppe Prencipe |
Distribution Sweeping on Clustered Machines with Hierarchical Memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 16th International Parallel and Distributed Processing Symposium (IPDPS 2002), 15-19 April 2002, Fort Lauderdale, FL, USA, CD-ROM/Abstracts Proceedings, 2002, IEEE Computer Society, 0-7695-1573-8. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
16 | Farzin Karimi, Fabrizio Lombardi |
A Scan-Bist Environment for Testing Embedded Memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MTDT ![In: 10th IEEE International Workshop on Memory Technology, Design, and Testing (MTDT 2002), 10-12 July 2002, Isle of Bendor, France, pp. 17-, 2002, IEEE Computer Society, 0-7695-1617-3. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
16 | Daniele Rossi 0001, Cecilia Metra, Bruno Riccò |
Fast and Compact Error Correcting Scheme for Reliable Multilevel Flash Memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MTDT ![In: 10th IEEE International Workshop on Memory Technology, Design, and Testing (MTDT 2002), 10-12 July 2002, Isle of Bendor, France, pp. 27-31, 2002, IEEE Computer Society, 0-7695-1617-3. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
16 | Caroline Papaix, Jean Michel Daga |
A New Single Ended Sense Amplifier for Low Voltage Embedded EEPROM Non Volatile Memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MTDT ![In: 10th IEEE International Workshop on Memory Technology, Design, and Testing (MTDT 2002), 10-12 July 2002, Isle of Bendor, France, pp. 149-156, 2002, IEEE Computer Society, 0-7695-1617-3. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
16 | Farzin Karimi, Fred J. Meyer, Fabrizio Lombardi |
Random Testing of Multi-Port Static Random Access Memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MTDT ![In: 10th IEEE International Workshop on Memory Technology, Design, and Testing (MTDT 2002), 10-12 July 2002, Isle of Bendor, France, pp. 101-108, 2002, IEEE Computer Society, 0-7695-1617-3. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
16 | Mohsen Sharifi, Behrouz Zolfaghari |
An Approach to Exploiting Skewed Associative Memories in Avionics Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPADS ![In: 9th International Conference on Parallel and Distributed Systems, ICPADS 2002, Taiwan, ROC, December 17-20, 2002, pp. 517-522, 2002, IEEE Computer Society, 0-7695-1760-9. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
16 | Kiyoo Itoh 0001 |
Low-voltage memories for power-aware systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2002 International Symposium on Low Power Electronics and Design, 2002, Monterey, California, USA, August 12-14, 2002, pp. 1-6, 2002, ACM, 1-58113-475-4. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
DRAM and SRAM cells, gain cells, gate-source/substrate-source back-biasing, memory-rich architectures, multi-Vr, non-volatile RAMs, on-chip voltage converters, peripheral circuits, subthreshold current, testing |
16 | Farzin Karimi, Fabrizio Lombardi |
A Scan-Bist Environment for Testing Embedded Memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IOLTW ![In: 8th IEEE International On-Line Testing Workshop (IOLTW 2002), 8-10 July 2002, Isle of Bendor, France, pp. 211-, 2002, IEEE Computer Society, 0-7695-1641-6. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
16 | Daniele Rossi 0001, Cecilia Metra, Bruno Riccò |
Fast and Compact Error Correcting Scheme for Reliable Multilevel Flash Memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IOLTW ![In: 8th IEEE International On-Line Testing Workshop (IOLTW 2002), 8-10 July 2002, Isle of Bendor, France, pp. 221-225, 2002, IEEE Computer Society, 0-7695-1641-6. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
16 | Said Hamdioui, Zaid Al-Ars, Ad J. van de Goor |
Testing Static and Dynamic Faults in Random Access Memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 20th IEEE VLSI Test Symposium (VTS 2002), Without Testing It's a Gamble, 28 April - 2 May 2002, Monterey, CA, USA, pp. 395-400, 2002, IEEE Computer Society, 0-7695-1570-3. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
static faults, fault coverage, memory tests, dynamic faults, fault primitives |
16 | Jin-Fu Li 0001, Ruey-Shing Tzeng, Cheng-Wen Wu |
Testing and Diagnosing Embedded Content Addressable Memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 20th IEEE VLSI Test Symposium (VTS 2002), Without Testing It's a Gamble, 28 April - 2 May 2002, Monterey, CA, USA, pp. 389-394, 2002, IEEE Computer Society, 0-7695-1570-3. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
16 | Tohru Ishihara, Kunihiro Asada |
An Architectural Level Energy Reduction Technique For Deep-Submicron Cache Memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC/VLSI Design ![In: Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), and the 15th International Conference on VLSI Design (VLSI Design 2002), Bangalore, India, January 7-11, 2002, pp. 282-287, 2002, IEEE Computer Society, 0-7695-1299-2. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
16 | Emmanuel Cecchet |
Memory Mapped Networks: A New Deal for Distributed Shared Memories? The SciFS Experience. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CLUSTER ![In: 2002 IEEE International Conference on Cluster Computing (CLUSTER 2002), 23-26 September 2002, Chicago, IL, USA, pp. 231-238, 2002, IEEE Computer Society, 0-7695-1745-5. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
16 | Rochit Rajsuman |
Design and Test of Large Embedded Memories: An Overview. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Des. Test Comput. ![In: IEEE Des. Test Comput. 18(3), pp. 16-27, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
16 | Miroslav N. Velev, Randal E. Bryant |
EVC: A Validity Checker for the Logic of Equality with Uninterpreted Functions and Memories, Exploiting Positive Equality, and Conservative Transformations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CAV ![In: Computer Aided Verification, 13th International Conference, CAV 2001, Paris, France, July 18-22, 2001, Proceedings, pp. 235-240, 2001, Springer, 3-540-42345-1. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
16 | Bogdan Raducanu, Manuel Graña |
On the Application of Heteroassociative Morphological Memories to Face Localization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWANN (2) ![In: Bio-inspired Applications of Connectionism, 6th International Work-Conference on Artificial and Natural Neural Networks, IWANN 2001 Granada, Spain, June 13-15, 2001, Proceedings, Part II, pp. 563-570, 2001, Springer, 3-540-42237-4. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
16 | Hiroyuki Aoki, Eiju Watanabe, Atsushi Nagata, Yukio Kosugi |
Rotation-Invariant Image Association for Endoscopic Positional Identification Using Complex-Valued Associative Memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWANN (2) ![In: Bio-inspired Applications of Connectionism, 6th International Work-Conference on Artificial and Natural Neural Networks, IWANN 2001 Granada, Spain, June 13-15, 2001, Proceedings, Part II, pp. 369-376, 2001, Springer, 3-540-42237-4. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
16 | Farzin Karimi, Fabrizio Lombardi, V. Swamy Irrinki, T. Crosby |
A Parallel Approach for Testing Multi-Port Static Random Access Memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MTDT ![In: 9th IEEE International Workshop on Memory Technology, Design, and Testing (MTDT 2001), 6-7 August 2001, San Jose, CA, USA, pp. 73-, 2001, IEEE Computer Society, 0-7695-1242-9. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
16 | Jayanta Bhadra, Andrew K. Martin, Jacob A. Abraham, Magdy S. Abadir |
Using Abstract Specifications to Verify PowerPCTM Custom Memories by Symbolic Trajectory Evaluation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CHARME ![In: Correct Hardware Design and Verification Methods, 11th IFIP WG 10.5 Advanced Research Working Conference, CHARME 2001, Livingston, Scotland, UK, September 4-7, 2001, Proceedings, pp. 386-402, 2001, Springer, 3-540-42541-1. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
16 | Arnaud Turier, Lotfi Ben Ammar, Amara Amara |
Static power consumption management in CMOS memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (4) ![In: Proceedings of the 2001 International Symposium on Circuits and Systems, ISCAS 2001, Sydney, Australia, May 6-9, 2001, pp. 506-509, 2001, IEEE, 0-7803-6685-9. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
16 | Takatoshi Sakaue, Manabu Matsuzaki, Masachika Miyata |
On the stability of bilayer associative memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (3) ![In: Proceedings of the 2001 International Symposium on Circuits and Systems, ISCAS 2001, Sydney, Australia, May 6-9, 2001, pp. 589-591, 2001, IEEE, 0-7803-6685-9. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
16 | Michele Brucoli, Donato Cafagna, Leonarda Carnimeo |
On the performance of CNNs for associative memories in robot vision systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (3) ![In: Proceedings of the 2001 International Symposium on Circuits and Systems, ISCAS 2001, Sydney, Australia, May 6-9, 2001, pp. 341-344, 2001, IEEE, 0-7803-6685-9. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
16 | Farzin Karimi, Fabrizio Lombardi |
Parallel Testing of Multi-port Static Random Access Memories for BIST. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DFT ![In: 16th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2001), 24-26 October 2001, San Francisco, CA, USA, Proceedings, pp. 271-279, 2001, IEEE Computer Society, 0-7695-1203-8. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
Memory testing, embedded memory, multi-port, parallel testing |
16 | Pierluigi Daglio, M. Araldi, Michele Morbarigazzi, Carlo Roma |
A Fully Qualified Analog Design Flow for Non Volatile Memories Technologies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 2nd International Symposium on Quality of Electronic Design (ISQED 2001), 26-28 March 2001, San Jose, CA, USA, pp. 451-455, 2001, IEEE Computer Society, 0-7695-1025-6. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
16 | Jun Zhao 0005, V. Swamy Irrinki, Mukesh Puri, Fabrizio Lombardi |
Testing SRAM-Based Content Addressable Memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 49(10), pp. 1054-1063, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
March C algorithm, fault detection, fault modeling, memory testing, Content addressable memory |
16 | Kun-Jin Lin, Cheng-Wen Wu |
Testing content-addressable memories using functional fault modelsand march-like algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 19(5), pp. 577-588, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
16 | Pradip K. Jha, Nikil D. Dutt |
High-level library mapping for memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 5(3), pp. 566-603, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
memory libraries, high-level synthesis, technology-mapping |
16 | Narayanan Krishnamurthy, Andrew K. Martin, Magdy S. Abadir, Jacob A. Abraham |
Validating PowerPC Microprocessor Custom Memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Des. Test Comput. ![In: IEEE Des. Test Comput. 17(4), pp. 61-76, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
16 | Hye-Yeon Kim, Jooyoung Park, Seong-Whan Lee |
A New Methodology to the Design of Associative Memories Based on Cellular Neural Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPR ![In: 15th International Conference on Pattern Recognition, ICPR'00, Barcelona, Spain, September 3-8, 2000., pp. 2965-2968, 2000, IEEE Computer Society, 0-7695-0750-6. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
16 | Rafael Gadea Gironés, Vicente Herrero-Bosch, Angel Sebastiá, Antonio Mocholí Salcedo |
The Role of the Embedded Memories in the Implementation of Artificial Neural Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPL ![In: Field-Programmable Logic and Applications, The Roadmap to Reconfigurable Computing, 10th International Workshop, FPL 2000, Villach, Austria, August 27-30, 2000, Proceedings, pp. 785-788, 2000, Springer, 3-540-67899-9. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
16 | Dirk Niggemeyer, Elizabeth M. Rudnick, Michael Redeker |
Diagnostic Testing of Embedded Memories Based on Output Tracing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MTDT ![In: 8th IEEE International Workshop on Memory Technology, Design, and Testing (MTDT 2000), 7-8 August 2000, San Jose, CA, USA, pp. 113-118, 2000, IEEE Computer Society, 0-7695-0689-5. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
16 | Rino Micheloni, Matteo Zammattio, Giovanni Campardo, Osama Khouri, Guido Torelli |
Hierarchical Sector Biasing Organization for Flash Memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MTDT ![In: 8th IEEE International Workshop on Memory Technology, Design, and Testing (MTDT 2000), 7-8 August 2000, San Jose, CA, USA, pp. 29-33, 2000, IEEE Computer Society, 0-7695-0689-5. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
16 | Karma Sherif, Munir Mandviwalla |
Barriers to Actualizing Organizational Memories: Lessons from Industry. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS ![In: 33rd Annual Hawaii International Conference on System Sciences (HICSS-33), 4-7 January, 2000, Maui, Hawaii, USA, 2000, IEEE Computer Society, 0-7695-0493-0. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
16 | Jooyoung Park, Hyuk Cho, Daihee Park |
Design of GBSB neural associative memories using semidefinite programming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Neural Networks ![In: IEEE Trans. Neural Networks 10(4), pp. 946-950, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
16 | Jarno K. Tanskanen, Jarkko Niittylahti |
Parallel Memories in Video Encoding. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Data Compression Conference ![In: Data Compression Conference, DCC 1999, Snowbird, Utah, USA, March 29-31, 1999., pp. 552, 1999, IEEE Computer Society, 0-7695-0096-X. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
16 | Seiichi Ozawa, K. Tsutumi, Norio Baba |
Evolution of a dynamical modular neural network and its application to associative memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
KES ![In: Third International Conference on Knowledge-Based Intelligent Information Engineering Systems, KES 1999, Adelaide, South Australia, 31 August - 1 September 1999, Proceedings, pp. 145-148, 1999, IEEE, 0-7803-5578-4. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
16 | Philip P. Shirvani, Edward J. McCluskey |
PADded Cache: A New Fault-Tolerance Technique for Cache Memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 17th IEEE VLSI Test Symposium (VTS '99), 25-30 April 1999, San Diego, CA, USA, pp. 440-445, 1999, IEEE Computer Society, 0-7695-0146-X. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
16 | Herman Schmit, Donald E. Thomas |
Address generation for memories containing multiple arrays. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 17(5), pp. 377-385, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
16 | Ivo Schanstra, Dharmajaya Lukita, Ad J. van de Goor, Kees Veelenturf, Paul J. van Wijnen |
Semiconductor manufacturing process monitoring using built-in self-test for embedded memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: Proceedings IEEE International Test Conference 1998, Washington, DC, USA, October 18-22, 1998, pp. 872-881, 1998, IEEE Computer Society, 0-7803-5093-6. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
diagnosis, BIST, fault localization, process monitoring, bitmap, RAM testing, microcode |
16 | Alaaeldin A. Amin, Mohamed Y. Osman, Radwan E. Abdel-Aal, Husni Al-Muhtaseb |
New fault models and efficient BIST algorithms for dual-port memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 16(9), pp. 987-1000, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
16 | Pradip K. Jha, Nikil D. Dutt |
Library mapping for memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ED&TC ![In: European Design and Test Conference, ED&TC '97, Paris, France, 17-20 March 1997, pp. 288-292, 1997, IEEE Computer Society, 0-8186-7786-4. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
16 | Pascal Bichebois, Pierre Mathery |
Analysis of Defect to Yield Correlation on Memories: Method, Algorithms and Limits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DFT ![In: 1997 Workshop on Defect and Fault-Tolerance in VLSI Systems (DFT '97), 20-22 October 1997, Paris, France, pp. 44-52, 1997, IEEE Computer Society, 0-8186-8168-3. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
algorithm, tool, correlation, method, errors, inspection, yield, failure, defect, limits |
16 | Preeti Ranjan Panda, Nikil D. Dutt |
Behavioral Array Mapping into Multiport Memories Targeting Low Power. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 10th International Conference on VLSI Design (VLSI Design 1997), 4-7 January 1997, Hyderabad, India, pp. 268-273, 1997, IEEE Computer Society, 0-8186-7755-4. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
16 | Manish Pandey, Richard Raimi, Randal E. Bryant, Magdy S. Abadir |
Formal Verification of Content Addressable Memories Using Symbolic Trajectory Evaluation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 34st Conference on Design Automation, Anaheim, California, USA, Anaheim Convention Center, June 9-13, 1997., pp. 167-172, 1997, ACM Press, 0-89791-920-3. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
16 | Jean Vuillemin, Patrice Bertin, Didier Roncin, Mark Shand, H. H. Touati, Philippe Boucard |
Programmable active memories: reconfigurable systems come of age. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 4(1), pp. 56-69, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
16 | Yinan N. Shen, Nohpill Park, Fabrizio Lombardi |
Space Cutting Approaches for Repairing Memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 1996 International Conference on Computer Design (ICCD '96), VLSI in Computers and Processors, October 7-9, 1996, Austin, TX, USA, Proceedings, pp. 106-111, 1996, IEEE Computer Society, 0-8186-7554-3. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
16 | Michael Nicolaidis, Vladimir Castro Alves, Hakim Bederr |
Testing complex couplings in multiport memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 3(1), pp. 59-71, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
16 | Herman Schmit, Donald E. Thomas |
Address generation for memories containing multiple arrays. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: Proceedings of the 1995 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1995, San Jose, California, USA, November 5-9, 1995, pp. 510-514, 1995, IEEE Computer Society / ACM, 0-8186-7213-7. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
16 | Kanad Chakraborty, Pinaki Mazumder |
Technology and layout-related testing of static random-access memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 5(4), pp. 347-365, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
Array layout, cell technology, Gallium Arsenide (GaAs), high electron mobility transistor (HEMT) RAMs, I DD testing, I DDQ testing |
16 | Cosimo Antonio Prete |
Cachesim: A Graphical Software Environment to Support the Teaching of Computer Systems with Cache Memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CSEE ![In: Software Engineering Education, 7th SEI CSEE Conference, San Antonio, Texas, USA, January 5-7, 1994, Proceedings, pp. 317-327, 1994, Springer, 3-540-57461-1. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
16 | Masaki Tsukude, Kazutami Arimoto, Hideto Hidaka, Yasuhiro Konishi, Masanori Hayashikoshi, Katsuhiro Suma, Kazuyasu Fujishima |
Highly Reliable Testing of ULSI Memories with On-Chip Voltage-Down Converters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Des. Test Comput. ![In: IEEE Des. Test Comput. 10(2), pp. 6-12, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
16 | Francisco Javier López Aligué, M. Isabel Acevedo Sotoca, Miguel A. Jaramillo Morán |
Synthesis of Adaptive Memories with Neural Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWANN ![In: Artificial Neural Networks, International Workshop, IWANN '91, Granada, Spain, September 17-19, 1991, Proceedings, pp. 153-161, 1991, Springer, 3-540-54537-9. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
|
16 | Rob Dekker, Frans P. M. Beenker, Loek Thijssen |
A realistic fault model and test algorithms for static random access memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 9(6), pp. 567-572, 1990. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
16 | Patrick M. Miller, Ali R. Hurson |
Rapid design of testable, high-performance/capacity associative memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPDP ![In: Proceedings of the Second IEEE Symposium on Parallel and Distributed Processing, SPDP 1990, Dallas, Texas, USA, December 9-13, 1990., pp. 744-749, 1990, IEEE Computer Society, 0-8186-2087-0. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
16 | Ram Raghavan, John P. Hayes |
On randomly interleaved memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: Proceedings Supercomputing '90, New York, NY, USA, November 12-16, 1990, pp. 49-58, 1990, IEEE Computer Society, 0-89791-412-0. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
16 | M. Balakrishnan, Arun K. Majumdar, Dilip K. Banerji, James G. Linders, Jayanti C. Majithia |
Allocation of multiport memories in data path synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 7(4), pp. 536-540, 1988. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
|
16 | Pinaki Mazumder, Janak H. Patel, W. Kent Fuchs |
Design and Algorithms for Parallel Testing of Random Access and Content Addressable Memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 24th ACM/IEEE Design Automation Conference. Miami Beach, FL, USA, June 28 - July 1, 1987., pp. 689-694, 1987, IEEE Computer Society Press / ACM. The full citation details ...](Pics/full.jpeg) |
1987 |
DBLP DOI BibTeX RDF |
|
16 | A. K. Gillis, G. E. Hoffmann, R. H. Nelson |
Holographic memories: fantasy or reality? ![Search on Bibsonomy](Pics/bibsonomy.png) |
AFIPS National Computer Conference ![In: American Federation of Information Processing Societies: 1975 National Computer Conference, 19-22 May 1975, Anaheim, CA, USA, pp. 535-539, 1975, AFIPS Press, 978-1-4503-7919-9. The full citation details ...](Pics/full.jpeg) |
1975 |
DBLP DOI BibTeX RDF |
|
16 | Adin D. Falkoff |
Algorithms for Parallel-Search Memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. ACM ![In: J. ACM 9(4), pp. 488-511, 1962. The full citation details ...](Pics/full.jpeg) |
1962 |
DBLP DOI BibTeX RDF |
|
13 | Izuchukwu Nwachukwu, Krishna M. Kavi, Fawibe Ademola, Chris Yan |
Evaluation of Techniques to Improve Cache Access Uniformities. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: International Conference on Parallel Processing, ICPP 2011, Taipei, Taiwan, September 13-16, 2011, pp. 31-40, 2011, IEEE Computer Society, 978-1-4577-1336-1. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
Cache Indexing, Non-Uniformity of Cache Accesses, Cache Memories, Performance Improvement |
13 | Thomas F. Wenisch, Michael Ferdman, Anastasia Ailamaki, Babak Falsafi, Andreas Moshovos |
Making Address-Correlated Prefetching Practical. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 30(1), pp. 50-59, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
address-correlated prefetching, cache memories |
13 | Nikos Hardavellas, Michael Ferdman, Babak Falsafi, Anastasia Ailamaki |
Near-Optimal Cache Block Placement with Reactive Nonuniform Cache Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 30(1), pp. 29, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
nonuniform cache architectures, parallel architectures, multicore, cache memories, data placement |
13 | Todd Mytkowicz, Amer Diwan, Matthias Hauswirth, Peter F. Sweeney |
The Effect of Omitted-Variable Bias on the Evaluation of Compiler Optimizations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computer ![In: Computer 43(9), pp. 62-67, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
Omitted-variable bias, Cache memories, Design and test, Computer performance, Measurement errors |
13 | George Lentaris, Dionysios I. Reisis |
A Graphics Parallel Memory Organization Exploiting Request Correlations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 59(6), pp. 762-775, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
storage devices, Parallel processing, graphics processors, interleaved memories |
13 | Yiqiang Ding, Wei Zhang 0002 |
Loop-Based Instruction Prefetching to Reduce the Worst-Case Execution Time. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 59(6), pp. 855-864, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
cache memories, Real-time and embedded systems |
13 | Nikola Vujic, Marc González 0001, Xavier Martorell, Eduard Ayguadé |
Automatic Prefetch and Modulo Scheduling Transformations for the Cell BE Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 21(4), pp. 494-505, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
prefetch code generation, Multicore processor, local memories, software cache |
13 | Karin Weigelt, Mike Hambsch, Gabor Karacs, Tino Zillger, Arved C. Hübler |
Labeling the World: Tagging Mass Products with Printing Processes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Pervasive Comput. ![In: IEEE Pervasive Comput. 9(2), pp. 59-63, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
memory control and access, design styles, printed electronics, printing processes, ubiquitous computing, logic design, hardware, hardware, computer systems organization, memory structures, special-purpose and application-based systems, ROM, semiconductor memories |
13 | Roberto Palmieri, Francesco Quaglia, Paolo Romano 0002 |
AGGRO: Boosting STM Replication via Aggressively Optimistic Transaction Processing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NCA ![In: Proceedings of The Ninth IEEE International Symposium on Networking Computing and Applications, NCA 2010, July 15-17, 2010, Cambridge, Massachusetts, USA, pp. 20-27, 2010, IEEE Computer Society, 978-1-4244-7628-2. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
Distributed Transactional Memories, distributed systems, dependability, Replication protocols |
13 | Daniela Petrelli, Nicolas Villar, Vaiva Kalnikaité, Lina Dib, Steve Whittaker 0001 |
FM radio: family interplay with sonic mementos. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CHI ![In: Proceedings of the 28th International Conference on Human Factors in Computing Systems, CHI 2010, Atlanta, Georgia, USA, April 10-15, 2010, pp. 2371-2380, 2010, ACM, 978-1-60558-929-9. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
mementos, memories, audio, narrative, tangible interaction |
13 | Jacques J. A. Fournier, Philippe Loubet-Moundi |
Memory Address Scrambling Revealed Using Fault Attacks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FDTC ![In: 2010 Workshop on Fault Diagnosis and Tolerance in Cryptography, FDTC 2010, Santa Barbara, California, USA, 21 August 2010, pp. 30-36, 2010, IEEE Computer Society, 978-0-7695-4169-3. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
Floating Gate memories, address scrambling, EEPROM, reverse-engineering, Fault Injections, Flash |
13 | Harika Manem, Garrett S. Rose, Xiaoli He, Wei Wang 0003 |
Design considerations for variation tolerant multilevel CMOS/Nano memristor memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, Providence, Rhode Island, USA, May 16-18 2010, pp. 287-292, 2010, ACM, 978-1-4503-0012-4. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
CMOS/nano, memristor, multi level memories |
13 | Aleksandar Dragojevic, Rachid Guerraoui, Michal Kapalka |
Stretching transactional memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the 2009 ACM SIGPLAN Conference on Programming Language Design and Implementation, PLDI 2009, Dublin, Ireland, June 15-21, 2009, pp. 155-165, 2009, ACM, 978-1-60558-392-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
benchmarks, software transactional memories |
13 | Josefa Díaz, José Ignacio Hidalgo, Francisco Fernández 0001, Oscar Garnica, Sonia López |
Improving SMT performance: an application of genetic algorithms to configure resizable caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GECCO (Companion) ![In: Genetic and Evolutionary Computation Conference, GECCO 2009, Proceedings, Montreal, Québec, Canada, July 8-12, 2009, Companion Material, pp. 2029-2034, 2009, ACM, 978-1-60558-505-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
reconfigurable caches, genetic algorithms, optimization, caches memories, simultaneous multithreading, gals, adaptive caches |
13 | Oluwayomi B. Adamo, Afrin Naz, Tommy Janjusic, Krishna M. Kavi, Chung-Ping Chung |
Smaller Split L-1 Data Caches for Multi-core Processing Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPAN ![In: The 10th International Symposium on Pervasive Systems, Algorithms, and Networks, ISPAN 2009, Kaohsiung, Taiwan, December 14-16, 2009, pp. 74-79, 2009, IEEE Computer Society, 978-0-7695-3908-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Split data cache, uniform cache access patterns, Cache memories |
13 | Hongzhong Zheng, Jiang Lin, Zhao Zhang 0010, Zhichun Zhu |
Decoupled DIMM: building high-bandwidth memory system using low-speed DRAM devices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 36th International Symposium on Computer Architecture (ISCA 2009), June 20-24, 2009, Austin, TX, USA, pp. 255-266, 2009, ACM, 978-1-60558-526-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
bandwidth decoupling, decoupled DIMM, DRAM memories |
13 | Elise van den Hoven, Berry Eggen |
Informing augmented memory system design through autobiographical memory theory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Pers. Ubiquitous Comput. ![In: Pers. Ubiquitous Comput. 12(6), pp. 433-443, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Recollecting memories, Augmented memory systems, Interactive system design, Autobiographical memory |
13 | Roberto Antonio Vázquez Espinoza de los Monteros, Juan Humberto Sossa Azuela |
A New Associative Model with Dynamical Synapses. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Neural Process. Lett. ![In: Neural Process. Lett. 28(3), pp. 189-207, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Pattern recognition, Associative memories, Dynamical synapses |
13 | Sung Woo Chung, Kevin Skadron |
On-Demand Solution to Minimize I-Cache Leakage Energy with Maintaining Performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 57(1), pp. 7-24, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Low-power design, Microprocessors, Cache memories, Energy-aware systems |
Displaying result #501 - #600 of 5730 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ 13][ 14][ 15][ >>] |
|