The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for memories with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1953-1962 (16) 1963-1966 (23) 1967-1968 (19) 1969-1971 (17) 1972-1974 (23) 1975 (20) 1976 (15) 1977 (22) 1978 (18) 1979 (19) 1980 (15) 1981-1982 (23) 1983 (17) 1984 (24) 1985 (22) 1986 (17) 1987 (20) 1988 (40) 1989 (37) 1990 (49) 1991 (56) 1992 (46) 1993 (49) 1994 (65) 1995 (60) 1996 (71) 1997 (80) 1998 (107) 1999 (104) 2000 (129) 2001 (121) 2002 (152) 2003 (204) 2004 (234) 2005 (248) 2006 (299) 2007 (308) 2008 (276) 2009 (215) 2010 (174) 2011 (135) 2012 (188) 2013 (190) 2014 (184) 2015 (182) 2016 (178) 2017 (188) 2018 (185) 2019 (183) 2020 (166) 2021 (147) 2022 (156) 2023 (177) 2024 (17)
Publication types (Num. hits)
article(2012) book(7) data(1) incollection(90) inproceedings(3508) phdthesis(111) proceedings(1)
Venues (Conferences, Journals, ...)
CoRR(219) IEEE Trans. Computers(161) DATE(117) IEEE Trans. Very Large Scale I...(85) DAC(73) IEEE Trans. Comput. Aided Des....(68) ITC(68) ISCAS(59) VTS(58) J. Electron. Test.(56) IJCNN(53) MTDT(53) ISIT(50) ISCA(49) ASP-DAC(46) DFT(46) More (+10 of total 1379)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 3194 occurrences of 1627 keywords

Results
Found 5730 publication records. Showing 5730 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
16Luca Larcher, Paolo Pavan, Alfonso Maurelli Flash Memories for SoC: An Overview on System Constraints and Technology Issues, invited. Search on Bibsonomy IWSOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Petru Cascaval, Stuart Bennett, Corneliu Hutanu Efficient March Tests for a Reduced 3-Coupling and 4-Coupling Faults in Random-Access Memories. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF fault simulation, memory testing, march test, coupling faults, functional faults
16S. T. Wang, H. J. Lu On new fuzzy morphological associative memories. Search on Bibsonomy IEEE Trans. Fuzzy Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Malay K. Ganai, Aarti Gupta, Pranav Ashar Efficient Modeling of Embedded Memories in Bounded Model Checking. Search on Bibsonomy CAV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16David Elléouet, Nathalie Julien, Dominique Houzet, Jean-Gabriel Cousin, Eric Martin 0001 Power Consumption Characterization and Modeling of Embedded Memories in XILINX VIRTEX 400E FPGA. Search on Bibsonomy DSD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Kae-Jiun Mo, Shao-Sheng Yang, Tsin-Yuan Chang Timing measurement unit with multi-stage TVC for embedded memories. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Bohdana Ratitch, Doina Precup Sparse Distributed Memories for On-Line Value-Based Reinforcement Learning. Search on Bibsonomy ECML The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Yervant Zorian Investment vs. Yield Relationship for Memories in SOC. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Karl Thaller, Andreas Steininger A transparent online memory test for simultaneous detection of functional faults and soft errors in memories. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Jin-Fu Li 0001, Ruey-Shing Tzeng, Cheng-Wen Wu Testing and Diagnosis Methodologies for Embedded Content Addressable Memories. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF march test algorithm, memory diagnostics, BIST, memory testing, CAM
16Dirk Niggemeyer, Elizabeth M. Rudnick A data acquisition methodology for on-chip repair of embedded memories. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF column failures, on-chip repair, built-in self-test, Diagnosis, memory test, march tests, embedded memory, coupling faults
16Manuel Graña, Josune Gallego, Francisco Javier Torrealdea, Alicia D'Anjou On the Application of Associative Morphological Memories to Hyperspectral Image Analysis. Search on Bibsonomy IWANN (2) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Mohammad Gh. Mohammad, Kewal K. Saluja Stress Test for Disturb Faults in Non-Volatile Memories. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Chin-Lung Su, Rei-Fu Huang, Cheng-Wen Wu A Processor-Based Built-In Self-Repair Design for Embedded Memories. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Antonio Martí Campoy, Sergio Sáez, Angel Perles, J. V. Busquets Schedulability Analysis in EDF Scheduler with Cache Memories. Search on Bibsonomy RTCSA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Bai Hong Fang, Qiang Xu 0001, Nicola Nicolici Hardware/Software Co-testing of Embedded Memories in Complex SOCs. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Alvaro E. Arenas, Gareth Barrera-Sanabria Modelling Intelligent Agents for Organisational Memories. Search on Bibsonomy KES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF MAS-CommonKADS, Knowledge Management, Agent-Oriented Software Engineering, Organisational Memory
16Sultan M. Al-Harbi, Sandeep K. Gupta 0001 Generating Complete and Optimal March Tests for Linked Faults in Memories. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Olivier Caty, Ismet Bayraktaroglu, Amitava Majumdar 0002, Richard Lee, John Bell, Lisa Curhan Instruction Based BIST for Board/System Level Test of External Memories and Internconnects. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Vera Damazio, Pablo Dias www.a.site.for.things-that.bring.back.memories. Search on Bibsonomy DPPI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF social memory, emotional response, affective design, material culture
16Kuo-Liang Cheng, Ming-Fu Tsai, Cheng-Wen Wu Neighborhood pattern-sensitive fault testing and diagnostics for random-access memories. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Jin-Fu Li 0001, Ruey-Shing Tzeng, Cheng-Wen Wu Diagnostic Data Compression Techniques for Embedded Memories with Built-In Self-Test. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Hamming syndrome, memory diagnostics, data compression, built-in self-test (BIST), system-on-chip, memory testing, Huffman code, March test
16Frank K. H. A. Dehne, Stefano Mardegan, Andrea Pietracaprina, Giuseppe Prencipe Distribution Sweeping on Clustered Machines with Hierarchical Memories. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Farzin Karimi, Fabrizio Lombardi A Scan-Bist Environment for Testing Embedded Memories. Search on Bibsonomy MTDT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Daniele Rossi 0001, Cecilia Metra, Bruno Riccò Fast and Compact Error Correcting Scheme for Reliable Multilevel Flash Memories. Search on Bibsonomy MTDT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Caroline Papaix, Jean Michel Daga A New Single Ended Sense Amplifier for Low Voltage Embedded EEPROM Non Volatile Memories. Search on Bibsonomy MTDT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Farzin Karimi, Fred J. Meyer, Fabrizio Lombardi Random Testing of Multi-Port Static Random Access Memories. Search on Bibsonomy MTDT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Mohsen Sharifi, Behrouz Zolfaghari An Approach to Exploiting Skewed Associative Memories in Avionics Systems. Search on Bibsonomy ICPADS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Kiyoo Itoh 0001 Low-voltage memories for power-aware systems. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF DRAM and SRAM cells, gain cells, gate-source/substrate-source back-biasing, memory-rich architectures, multi-Vr, non-volatile RAMs, on-chip voltage converters, peripheral circuits, subthreshold current, testing
16Farzin Karimi, Fabrizio Lombardi A Scan-Bist Environment for Testing Embedded Memories. Search on Bibsonomy IOLTW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Daniele Rossi 0001, Cecilia Metra, Bruno Riccò Fast and Compact Error Correcting Scheme for Reliable Multilevel Flash Memories. Search on Bibsonomy IOLTW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Said Hamdioui, Zaid Al-Ars, Ad J. van de Goor Testing Static and Dynamic Faults in Random Access Memories. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF static faults, fault coverage, memory tests, dynamic faults, fault primitives
16Jin-Fu Li 0001, Ruey-Shing Tzeng, Cheng-Wen Wu Testing and Diagnosing Embedded Content Addressable Memories. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Tohru Ishihara, Kunihiro Asada An Architectural Level Energy Reduction Technique For Deep-Submicron Cache Memories. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Emmanuel Cecchet Memory Mapped Networks: A New Deal for Distributed Shared Memories? The SciFS Experience. Search on Bibsonomy CLUSTER The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Rochit Rajsuman Design and Test of Large Embedded Memories: An Overview. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Miroslav N. Velev, Randal E. Bryant EVC: A Validity Checker for the Logic of Equality with Uninterpreted Functions and Memories, Exploiting Positive Equality, and Conservative Transformations. Search on Bibsonomy CAV The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Bogdan Raducanu, Manuel Graña On the Application of Heteroassociative Morphological Memories to Face Localization. Search on Bibsonomy IWANN (2) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Hiroyuki Aoki, Eiju Watanabe, Atsushi Nagata, Yukio Kosugi Rotation-Invariant Image Association for Endoscopic Positional Identification Using Complex-Valued Associative Memories. Search on Bibsonomy IWANN (2) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Farzin Karimi, Fabrizio Lombardi, V. Swamy Irrinki, T. Crosby A Parallel Approach for Testing Multi-Port Static Random Access Memories. Search on Bibsonomy MTDT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Jayanta Bhadra, Andrew K. Martin, Jacob A. Abraham, Magdy S. Abadir Using Abstract Specifications to Verify PowerPCTM Custom Memories by Symbolic Trajectory Evaluation. Search on Bibsonomy CHARME The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Arnaud Turier, Lotfi Ben Ammar, Amara Amara Static power consumption management in CMOS memories. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Takatoshi Sakaue, Manabu Matsuzaki, Masachika Miyata On the stability of bilayer associative memories. Search on Bibsonomy ISCAS (3) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Michele Brucoli, Donato Cafagna, Leonarda Carnimeo On the performance of CNNs for associative memories in robot vision systems. Search on Bibsonomy ISCAS (3) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Farzin Karimi, Fabrizio Lombardi Parallel Testing of Multi-port Static Random Access Memories for BIST. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Memory testing, embedded memory, multi-port, parallel testing
16Pierluigi Daglio, M. Araldi, Michele Morbarigazzi, Carlo Roma A Fully Qualified Analog Design Flow for Non Volatile Memories Technologies. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Jun Zhao 0005, V. Swamy Irrinki, Mukesh Puri, Fabrizio Lombardi Testing SRAM-Based Content Addressable Memories. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF March C algorithm, fault detection, fault modeling, memory testing, Content addressable memory
16Kun-Jin Lin, Cheng-Wen Wu Testing content-addressable memories using functional fault modelsand march-like algorithms. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Pradip K. Jha, Nikil D. Dutt High-level library mapping for memories. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF memory libraries, high-level synthesis, technology-mapping
16Narayanan Krishnamurthy, Andrew K. Martin, Magdy S. Abadir, Jacob A. Abraham Validating PowerPC Microprocessor Custom Memories. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Hye-Yeon Kim, Jooyoung Park, Seong-Whan Lee A New Methodology to the Design of Associative Memories Based on Cellular Neural Networks. Search on Bibsonomy ICPR The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Rafael Gadea Gironés, Vicente Herrero-Bosch, Angel Sebastiá, Antonio Mocholí Salcedo The Role of the Embedded Memories in the Implementation of Artificial Neural Networks. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Dirk Niggemeyer, Elizabeth M. Rudnick, Michael Redeker Diagnostic Testing of Embedded Memories Based on Output Tracing. Search on Bibsonomy MTDT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Rino Micheloni, Matteo Zammattio, Giovanni Campardo, Osama Khouri, Guido Torelli Hierarchical Sector Biasing Organization for Flash Memories. Search on Bibsonomy MTDT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Karma Sherif, Munir Mandviwalla Barriers to Actualizing Organizational Memories: Lessons from Industry. Search on Bibsonomy HICSS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Jooyoung Park, Hyuk Cho, Daihee Park Design of GBSB neural associative memories using semidefinite programming. Search on Bibsonomy IEEE Trans. Neural Networks The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Jarno K. Tanskanen, Jarkko Niittylahti Parallel Memories in Video Encoding. Search on Bibsonomy Data Compression Conference The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Seiichi Ozawa, K. Tsutumi, Norio Baba Evolution of a dynamical modular neural network and its application to associative memories. Search on Bibsonomy KES The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Philip P. Shirvani, Edward J. McCluskey PADded Cache: A New Fault-Tolerance Technique for Cache Memories. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Herman Schmit, Donald E. Thomas Address generation for memories containing multiple arrays. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16Ivo Schanstra, Dharmajaya Lukita, Ad J. van de Goor, Kees Veelenturf, Paul J. van Wijnen Semiconductor manufacturing process monitoring using built-in self-test for embedded memories. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF diagnosis, BIST, fault localization, process monitoring, bitmap, RAM testing, microcode
16Alaaeldin A. Amin, Mohamed Y. Osman, Radwan E. Abdel-Aal, Husni Al-Muhtaseb New fault models and efficient BIST algorithms for dual-port memories. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Pradip K. Jha, Nikil D. Dutt Library mapping for memories. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Pascal Bichebois, Pierre Mathery Analysis of Defect to Yield Correlation on Memories: Method, Algorithms and Limits. Search on Bibsonomy DFT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF algorithm, tool, correlation, method, errors, inspection, yield, failure, defect, limits
16Preeti Ranjan Panda, Nikil D. Dutt Behavioral Array Mapping into Multiport Memories Targeting Low Power. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Manish Pandey, Richard Raimi, Randal E. Bryant, Magdy S. Abadir Formal Verification of Content Addressable Memories Using Symbolic Trajectory Evaluation. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Jean Vuillemin, Patrice Bertin, Didier Roncin, Mark Shand, H. H. Touati, Philippe Boucard Programmable active memories: reconfigurable systems come of age. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
16Yinan N. Shen, Nohpill Park, Fabrizio Lombardi Space Cutting Approaches for Repairing Memories. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
16Michael Nicolaidis, Vladimir Castro Alves, Hakim Bederr Testing complex couplings in multiport memories. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
16Herman Schmit, Donald E. Thomas Address generation for memories containing multiple arrays. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
16Kanad Chakraborty, Pinaki Mazumder Technology and layout-related testing of static random-access memories. Search on Bibsonomy J. Electron. Test. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF Array layout, cell technology, Gallium Arsenide (GaAs), high electron mobility transistor (HEMT) RAMs, I DD testing, I DDQ testing
16Cosimo Antonio Prete Cachesim: A Graphical Software Environment to Support the Teaching of Computer Systems with Cache Memories. Search on Bibsonomy CSEE The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
16Masaki Tsukude, Kazutami Arimoto, Hideto Hidaka, Yasuhiro Konishi, Masanori Hayashikoshi, Katsuhiro Suma, Kazuyasu Fujishima Highly Reliable Testing of ULSI Memories with On-Chip Voltage-Down Converters. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
16Francisco Javier López Aligué, M. Isabel Acevedo Sotoca, Miguel A. Jaramillo Morán Synthesis of Adaptive Memories with Neural Networks. Search on Bibsonomy IWANN The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
16Rob Dekker, Frans P. M. Beenker, Loek Thijssen A realistic fault model and test algorithms for static random access memories. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
16Patrick M. Miller, Ali R. Hurson Rapid design of testable, high-performance/capacity associative memories. Search on Bibsonomy SPDP The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
16Ram Raghavan, John P. Hayes On randomly interleaved memories. Search on Bibsonomy SC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
16M. Balakrishnan, Arun K. Majumdar, Dilip K. Banerji, James G. Linders, Jayanti C. Majithia Allocation of multiport memories in data path synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
16Pinaki Mazumder, Janak H. Patel, W. Kent Fuchs Design and Algorithms for Parallel Testing of Random Access and Content Addressable Memories. Search on Bibsonomy DAC The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
16A. K. Gillis, G. E. Hoffmann, R. H. Nelson Holographic memories: fantasy or reality? Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1975 DBLP  DOI  BibTeX  RDF
16Adin D. Falkoff Algorithms for Parallel-Search Memories. Search on Bibsonomy J. ACM The full citation details ... 1962 DBLP  DOI  BibTeX  RDF
13Izuchukwu Nwachukwu, Krishna M. Kavi, Fawibe Ademola, Chris Yan Evaluation of Techniques to Improve Cache Access Uniformities. Search on Bibsonomy ICPP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF Cache Indexing, Non-Uniformity of Cache Accesses, Cache Memories, Performance Improvement
13Thomas F. Wenisch, Michael Ferdman, Anastasia Ailamaki, Babak Falsafi, Andreas Moshovos Making Address-Correlated Prefetching Practical. Search on Bibsonomy IEEE Micro The full citation details ... 2010 DBLP  DOI  BibTeX  RDF address-correlated prefetching, cache memories
13Nikos Hardavellas, Michael Ferdman, Babak Falsafi, Anastasia Ailamaki Near-Optimal Cache Block Placement with Reactive Nonuniform Cache Architectures. Search on Bibsonomy IEEE Micro The full citation details ... 2010 DBLP  DOI  BibTeX  RDF nonuniform cache architectures, parallel architectures, multicore, cache memories, data placement
13Todd Mytkowicz, Amer Diwan, Matthias Hauswirth, Peter F. Sweeney The Effect of Omitted-Variable Bias on the Evaluation of Compiler Optimizations. Search on Bibsonomy Computer The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Omitted-variable bias, Cache memories, Design and test, Computer performance, Measurement errors
13George Lentaris, Dionysios I. Reisis A Graphics Parallel Memory Organization Exploiting Request Correlations. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF storage devices, Parallel processing, graphics processors, interleaved memories
13Yiqiang Ding, Wei Zhang 0002 Loop-Based Instruction Prefetching to Reduce the Worst-Case Execution Time. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF cache memories, Real-time and embedded systems
13Nikola Vujic, Marc González 0001, Xavier Martorell, Eduard Ayguadé Automatic Prefetch and Modulo Scheduling Transformations for the Cell BE Architecture. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF prefetch code generation, Multicore processor, local memories, software cache
13Karin Weigelt, Mike Hambsch, Gabor Karacs, Tino Zillger, Arved C. Hübler Labeling the World: Tagging Mass Products with Printing Processes. Search on Bibsonomy IEEE Pervasive Comput. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF memory control and access, design styles, printed electronics, printing processes, ubiquitous computing, logic design, hardware, hardware, computer systems organization, memory structures, special-purpose and application-based systems, ROM, semiconductor memories
13Roberto Palmieri, Francesco Quaglia, Paolo Romano 0002 AGGRO: Boosting STM Replication via Aggressively Optimistic Transaction Processing. Search on Bibsonomy NCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Distributed Transactional Memories, distributed systems, dependability, Replication protocols
13Daniela Petrelli, Nicolas Villar, Vaiva Kalnikaité, Lina Dib, Steve Whittaker 0001 FM radio: family interplay with sonic mementos. Search on Bibsonomy CHI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF mementos, memories, audio, narrative, tangible interaction
13Jacques J. A. Fournier, Philippe Loubet-Moundi Memory Address Scrambling Revealed Using Fault Attacks. Search on Bibsonomy FDTC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Floating Gate memories, address scrambling, EEPROM, reverse-engineering, Fault Injections, Flash
13Harika Manem, Garrett S. Rose, Xiaoli He, Wei Wang 0003 Design considerations for variation tolerant multilevel CMOS/Nano memristor memory. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF CMOS/nano, memristor, multi level memories
13Aleksandar Dragojevic, Rachid Guerraoui, Michal Kapalka Stretching transactional memory. Search on Bibsonomy PLDI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF benchmarks, software transactional memories
13Josefa Díaz, José Ignacio Hidalgo, Francisco Fernández 0001, Oscar Garnica, Sonia López Improving SMT performance: an application of genetic algorithms to configure resizable caches. Search on Bibsonomy GECCO (Companion) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF reconfigurable caches, genetic algorithms, optimization, caches memories, simultaneous multithreading, gals, adaptive caches
13Oluwayomi B. Adamo, Afrin Naz, Tommy Janjusic, Krishna M. Kavi, Chung-Ping Chung Smaller Split L-1 Data Caches for Multi-core Processing Systems. Search on Bibsonomy ISPAN The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Split data cache, uniform cache access patterns, Cache memories
13Hongzhong Zheng, Jiang Lin, Zhao Zhang 0010, Zhichun Zhu Decoupled DIMM: building high-bandwidth memory system using low-speed DRAM devices. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF bandwidth decoupling, decoupled DIMM, DRAM memories
13Elise van den Hoven, Berry Eggen Informing augmented memory system design through autobiographical memory theory. Search on Bibsonomy Pers. Ubiquitous Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Recollecting memories, Augmented memory systems, Interactive system design, Autobiographical memory
13Roberto Antonio Vázquez Espinoza de los Monteros, Juan Humberto Sossa Azuela A New Associative Model with Dynamical Synapses. Search on Bibsonomy Neural Process. Lett. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Pattern recognition, Associative memories, Dynamical synapses
13Sung Woo Chung, Kevin Skadron On-Demand Solution to Minimize I-Cache Leakage Energy with Maintaining Performance. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Low-power design, Microprocessors, Cache memories, Energy-aware systems
Displaying result #501 - #600 of 5730 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license