The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase x-by-wire (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1948-1967 (15) 1968-1974 (22) 1975-1980 (18) 1981-1984 (22) 1985-1986 (31) 1987-1988 (30) 1989 (29) 1990 (32) 1991 (17) 1992 (24) 1993 (19) 1994 (36) 1995 (36) 1996 (51) 1997 (48) 1998 (64) 1999 (98) 2000 (94) 2001 (122) 2002 (179) 2003 (203) 2004 (224) 2005 (256) 2006 (302) 2007 (296) 2008 (259) 2009 (197) 2010 (96) 2011 (119) 2012 (93) 2013 (116) 2014 (135) 2015 (111) 2016 (140) 2017 (118) 2018 (130) 2019 (151) 2020 (159) 2021 (142) 2022 (174) 2023 (186) 2024 (45)
Publication types (Num. hits)
article(1760) book(3) data(2) incollection(13) inproceedings(2839) phdthesis(22)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1786 occurrences of 1001 keywords

Results
Found 4641 publication records. Showing 4639 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Wojciech Wiçclawek, Ewa Piçtka Numerical Complexity Reduction in Live-Wire Algorithm. Search on Bibsonomy Computer Recognition Systems 2 The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Massimiliano Melani, Lorenzo Bertini, Marco De Marinis, Peter Lange, Francesco D'Ascoli, Luca Fanucci Hot Wire Anemometric MEMS Sensor for Water Flow Monitoring. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Enrique San Millán, Luis Entrena, José Alberto Espejo Logic Transformations by Multiple Wire Network Addition. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Ming-Chao Tsai, Yung-Chia Lin, Ting-Chi Wang An MILP-based wire spreading algorithm for PSM-aware layout modification. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Joshua Candamo, Dmitry B. Goldgof Wire detection in low-altitude, urban, and low-quality video frames. Search on Bibsonomy ICPR The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Stephan Kubisch, Harald Widiger, Peter Danielis, Jens Schulz, Dirk Timmermann, Thomas Bahls, Daniel Duchow Countering phishing threats with trust-by-wire in packet-switched ip networks - a conceptual framework. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Kalliopi Tsota, Cheng-Kok Koh, Venkataramanan Balakrishnan Guiding global placement with wire density. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Michael S. McCorquodale, Scott M. Pernia, Sundus Kubba, Gordy A. Carichner, Justin D. O'Day, Eric D. Marsman, Jonathan J. Kuhn, Richard B. Brown A 25MHz all-CMOS reference clock generator for XO-replacement in serial wire interfaces. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Qiaoyan Yu, Paul Ampadu Configurable error correction for multi-wire errors in switch-to-switch SOC links. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Philipp V. Panitz, Markus Olbrich, Erich Barke, Markus Bühler, Jürgen Koehl Considering possible opens in non-tree topology wire delay calculation. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF non-tree topologies, yield, static timing analysis, delay analysis
17Harald Widiger, Stephan Kubisch, Peter Danielis, Jens Schulz, Dirk Timmermann, Thomas Bahls, Daniel Duchow IPclip: An architecture to restore Trust-by-Wire in packet-switched networks. Search on Bibsonomy LCN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Huabin Chen, Tao Lin 0011, Shanben Chen, Jifeng Wang, Jianqiang Jia, Hui Zhang Adaptive control on wire feeding in robot arc welding system. Search on Bibsonomy RAM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Wu Ruizhe, Han Fei, Ren Li Optimizing the Wire Layout in Wireless Mesh Network. Search on Bibsonomy ICIW The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Rajeev Balasubramonian, Naveen Muralimanohar, Karthik Ramani, Liqun Cheng, John B. Carter Leveraging Wire Properties at the Microarchitecture Level. Search on Bibsonomy IEEE Micro The full citation details ... 2006 DBLP  DOI  BibTeX  RDF interconnections, multiprocessor systems, interprocessor communications, energy-aware systems, interconnection architectures, advanced technologies
17Mario R. Casu, Luca Macchiarulo Floorplanning With Wire Pipelining in Adaptive Communication Channels. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Ya-Jun Pan 0001, Carlos Canudas-de-Wit, Olivier Sename A New Predictive Approach for Bilateral Teleoperation With Applications to Drive-by-Wire Systems. Search on Bibsonomy IEEE Trans. Robotics The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Stephen Saric, Alireza Bab-Hadiashar, Reza Hoseinnezhad A Sensor Fusion Approach to Estimate Clamp Force in Brake-by-Wire Systems. Search on Bibsonomy VTC Spring The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Se-Joong Lee, Kwanho Kim, Hyejung Kim, Namjun Cho, Hoi-Jun Yoo A network-on-chip with 3Gbps/wire serialized on-chip interconnect using adaptive control schemes. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Sean X. Shi, David Z. Pan Wire sizing with scattering effect for nanoscale interconnection. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Hui Guo, Ying Jiang Application Layer Definition and Analyses of Controller Area Network Bus for Wire Harness Assembly Machine. Search on Bibsonomy CIMCA/IAWTIC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Kostas Siozios, Dimitrios Soudris Wire Segment Length and Switch Box Co-Optimization for FPGA Architectures. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Adel M. Sharaf, Roshan Chhetri A Novel Dynamic Capacitor Compensator/Green Plug Scheme for 3Phase-4 Wire Utilization Loads. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Luo Yuan, Chaichana Mitrpant, A. J. Han Vinck, Kefei Chen Some new characters on the wire-tap channel of type II. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Junpei Inoue, Hiroyuki Ito, Shinichiro Gomi, Takanori Kyogoku, Takumi Uezono, Kenichi Okada, Kazuya Masu Evaluation of on-chip transmission line interconnect using wire length distribution. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Emil Axelsson, Koen Claessen, Mary Sheeran Wired: Wire-Aware Circuit Design. Search on Bibsonomy CHARME The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Mongkol Ekpanyapong, Chinnakrishnan S. Ballapuram, Sung Kyu Lim, Hsien-Hsin S. Lee Wire-driven microarchitectural design space exploration. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Mary Yvonne Lanzerotti, Giovanni Fiorenza, Rick A. Rand Assessment of on-chip wire-length distribution models. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Jingjing Fu, Zuying Luo, Xianlong Hong, Yici Cai, Sheldon X.-D. Tan, Zhu Pan Simultaneous Wire Sizing and Decoupling Capacitance Budgeting for Robust On-Chip Power Delivery. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Bradford M. Beckmann, David A. Wood 0001 Managing Wire Delay in Large Chip-Multiprocessor Caches. Search on Bibsonomy MICRO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Gérard Mas, Philippe Martin 0005 Network-on-Chip: The Intelligence is in The Wire. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Changkyu Kim, Doug Burger, Stephen W. Keckler Nonuniform Cache Architectures for Wire-Delay Dominated On-Chip Caches. Search on Bibsonomy IEEE Micro The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Peter G. Sassone, Sung Kyu Lim A Novel Geometric Algorithm for Fast Wire-Optimized Floorplanning. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Samy Ebeid, Raouf Fahmy, Sameh Habib An Operating and Diagnostic Knowledge-Based System for Wire EDM. Search on Bibsonomy KES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Shridhar Mubaraq Mishra, A. Guruprasad, Chun Feng Hu, Pramod K. Pandey, Ming Hung Wire-speed traffic management in Ethernet switches. Search on Bibsonomy ISCAS (2) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Charles C. Chiang, Qing Su, Ching-Shoei Chiang Wirelength reduction by using diagonal wire. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF 45° routing, diagonal routing, routing, steiner tree
17Steve Kapp 802.11: Leaving the Wire Behind. Search on Bibsonomy IEEE Internet Comput. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Roman Nossal, Roland Lang Model-Based System Development: An Approach to Building X-by-Wire Applications. Search on Bibsonomy IEEE Micro The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Guoan Zhong, Cheng-Kok Koh, Kaushik Roy 0001 On-chip interconnect modeling by wire duplication. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Katarzyna Radecka, Zeljko Zilic Identifying Redundant Wire Replacements for Synthesis and Verification. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Changkyu Kim, Doug Burger, Stephen W. Keckler An adaptive, non-uniform cache structure for wire-delay dominated on-chip caches. Search on Bibsonomy ASPLOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Yasuhiro Ishida, Y. Yamaguchi, Nobuo Kuwabara, M. Tokuda Visualization of radiated emission sources on a wire using far field amplitude data. Search on Bibsonomy APCCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Rony Kay, Rob A. Rutenbar Wire packing - a strong formulation of crosstalk-aware chip-leveltrack/layer assignment with an efficient integer programming solution. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Michael T. Niemier, Peter M. Kogge Exploring and exploiting wire-level pipelining in emerging technologies. Search on Bibsonomy ISCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Christopher Metz 0001 On the Wire: IP-over-Satellite: Internet Connectivity Blasts Off. Search on Bibsonomy IEEE Internet Comput. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Bryan P. Rynne Convergence of Galerkin method solutions of the integral equation for thin wire antennas. Search on Bibsonomy Adv. Comput. Math. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF 78O8, 78A50, 65R20, convergence rates, Galerkin methods, electromagnetic scattering
17Noel Menezes, Chung-Ping Chen Spec-Based Repeater Insertion and Wire Sizing for On-chip Interconnect. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Ying C. (Bob) Yeh Design Considerations in Boeing 777 Fly-By-Wire Computers. Search on Bibsonomy HASE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Satyamurthy Pullela, Noel Menezes, Lawrence T. Pileggi Moment-sensitivity-based wire sizing for skew reduction in on-chip clock nets. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17David J. Hathaway, Rafik R. Habra, Erich C. Schanzenbach, Sara J. Rothman Circuit Placement, Chip Optimization, and Wire Routing for IBM IC Technology. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17Sachin S. Sapatnekar Wire sizing as a convex optimization problem: exploring the area-delay tradeoff. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
17Luciano Lavagno, Kurt Keutzer, Alberto L. Sangiovanni-Vincentelli Synthesis of hazard-free asynchronous circuits with bounded wire delays. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
17John Lillis, Chung-Kuan Cheng, Ting-Ting Y. Lin Optimal wire sizing and buffer insertion for low power and a generalized delay model. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Timing Optization, Dynamic Power Dissipation, Signal Slew, Dynamic Programming, Elmore Delay
17Kyunrak Chong, Sartaj Sahni Minimizing total wire length by flipping modules. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Jan-Ming Ho, Atsushi Suzuki, Majid Sarrafzadeh An exact algorithm for single-layer wire length minimization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Yvan G. Leclerc, Martin A. Fischler An optimization-based approach to the interpretation of single line drawings as 3D wire frames. Search on Bibsonomy Int. J. Comput. Vis. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
17P. Groenveld On Global Wire Ordering for Macro-Cell Routing. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
17Andrew W. Appel Simulating digital circuits with one bit per wire. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
17John A. Brewer III, S. Mark Courter Automated conversion of curvilinear wire-frame models to surface boundary models; a topological approach. Search on Bibsonomy SIGGRAPH The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
15Qiaoyan Yu, Paul Ampadu Transient and Permanent Error Co-management Method for Reliable Networks-on-Chip. Search on Bibsonomy NOCS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF permanent error, splitting transmission, spare wire, reliability, Network-on-chip, transient error
15Hua Liu, Huilai Zou, Lili Zhong, Chaonan Wang, Youtian Qu Improvement of the Optimal Hamilton Circuit for Undirected Complete Graph. Search on Bibsonomy CIT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF undirected complete graph, Optimal Hamilton Circuit, Gradually Convergent Algorithm, Cross-wire Cutting Algorithm, Nearest Neighbor Algorithm
15Michael R. Marner, Bruce H. Thomas Augmented foam sculpting for capturing 3D models. Search on Bibsonomy 3DUI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF volumetric rendering techniques, augmented foam sculpting process, hand-held hot wire foam cutter tool, 3D virtual model, cut animation, wireframe visualizations, 3D procedural textures, wood grain texture, School of Industrial Design, University of South Australia, spatial augmented reality
15Zhuang Wu, Zhi Jiao, Li Hongi Guo Temperature and Humidity Measure-Control System Based on CAN and Digital Sensors. Search on Bibsonomy IFITA (3) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Measure-control System, 1-Wire, CAN
15Beom-Il Nam, Byeungwoo Jeon Mobile based digital contents conversion service implementation. Search on Bibsonomy ICIS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF UCC, mobile contents sharing, mobile conversion, mobile multimedia transformation, wire and wireless service, mobile, WAP, WIPI
15Michael Paulitsch, Brendan Hall Starting and Resolving a Partitioned BRAIN. Search on Bibsonomy ISORC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF braided ring, TTP/C, IMA, communication platform, x-by-wire, synchronization, integrity, availability, BRAIN, time-triggered, FlexRay, start-up
15Mario R. Casu, Luca Macchiarulo Adaptive Latency-Insensitive Protocols. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF latency-insensitive protocols, interconnections, ICs, wire pipelining
15Pranav Anbalagan, Jeffrey A. Davis A priori prediction of tightly clustered connections based on heuristic classification trees. Search on Bibsonomy SLIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF wire length prediction
15Mandeep Bamal, Youssef Travaly, Wenqi Zhang, Michele Stucchi, Karen Maex Impact of interconnect resistance increase on system performance of low power and high performance designs. Search on Bibsonomy SLIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF interconnect sizing, interconnect technology evaluation, power-delay trade-off, wire sizing
15Peter A. N. Bosman, Tanja Alderliesten Evolutionary algorithms for medical simulations: a case study in minimally-invasive vascular interventions. Search on Bibsonomy GECCO Workshops The full citation details ... 2005 DBLP  DOI  BibTeX  RDF guide wire, minimally invasive, vascular intervention, evolutionary algorithms, training, numerical optimization, gradients, medical simulation
15Mario R. Casu, Luca Macchiarulo Floorplanning for throughput. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF systems-on-chip, throughput, floorplanning, wire pipelining
15Kristjan Varnik, Jason Freeman 0001, Chandrasekhar Ramakrishnan Tools used while developing auracle: a voice-controlled networked instrument. Search on Bibsonomy ACM Multimedia The full citation details ... 2004 DBLP  DOI  BibTeX  RDF JSyn, SuperCollider, auracle, network and control, open sound control, transjam, voice controlled synthesis, Java, wire, interactive music systems, Max/MSP
15Joni Dambre, Dirk Stroobandt, Jan Van Campenhout Fast estimation of the partitioning rent characteristic using a recursive partitioning model. Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF a priori wire length prediction, recursive circuit partitioning, graph bipartitioning
15Antonis Papanikolaou, Miguel Miranda, Francky Catthoor, Henk Corporaal, Hugo De Man, David De Roest, Michele Stucchi, Karen Maex Global interconnect trade-off for technology over memory modules to application level: case study. Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Pareto-optimal energy/delay interconnect exploration, interconnect wire processing, intra/inter-memory interconnect
15Takeshi Sakamoto, Takashi Yamada, Mamoru Mukuno, Yoshifumi Matsushita, Yasoo Harada, Hiroto Yasuura Power analysis techniques for SoC with improved wiring models. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF custom wire load model, SoC, power analysis, gate-level
15Chris C. N. Chu, D. F. Wong 0001 VLSI Circuit Performance Optimization by Geometric Programming. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF unary geometric programming, circuit performance optimization, VLSI design, Lagrangian relaxation, gate sizing, transistor sizing, wire sizing
15Arifur Rahman, Shamik Das, Anantha P. Chandrakasan, Rafael Reif Wiring requirement and three-dimensional integration of field-programmable gate arrays. Search on Bibsonomy SLIP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF 3-D integrated circuits, FPGA, system-level modeling, wire-length
15Kees Veelenturf The Road to Better Reliability and Yield Embedded DfM Tools. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF wire spreading, yield prediction, yield improvement, DfM
15Louis Scheffer, Eric Nequist Why interconnect prediction doesn't work. Search on Bibsonomy SLIP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF constructive estimation, wire load model, interconnect prediction
15Peng Li 0001, Pranab K. Nag, Wojciech Maly Cost based tradeoff analysis of standard cell designs. Search on Bibsonomy SLIP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF a posteriori wire length estimation, die size estimation, yield and cost prediction
15Andrew B. Kahng, Dirk Stroobandt Wiring layer assignments with consistent stage delays. Search on Bibsonomy SLIP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF via impact, routing, delay, wire length, layer assignment
15Anil N. Hirani, Takashi Totsuka Combining Frequency and Spatial Domain Information for Fast Interactive Image Noise Removal. Search on Bibsonomy SIGGRAPH The full citation details ... 1996 DBLP  DOI  BibTeX  RDF projections into convex sets, scratch and wire removal, POCS
15Andrew Lim 0001, Siu-Wing Cheng, Sartaj Sahni Optimal Joining of Compacted Cells. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF compacted cells, river routing, minimum area joining, low-order polynomial complexity, VLSI, network routing, circuit layout CAD, stretching, wire length
15Hartmut Schmeck, Heiko Schröder 0001 Dictionary Machines for Different Models of VLSI. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1985 DBLP  DOI  BibTeX  RDF VLSI hardware models, Dictionary machines. are taken as an example to demonstrate the implications the choice of the VLSI hardware model has on the design and analysis of algorithms and special purpose architectures, A systolic search tree and a two-dimensional systolic array are used to implement the dictionary machine, If the wire lengths only affect the area, the systolic search tree suggests itself as an efficient realization of a dictionary machine having constant period, linear areS and logarithmic execution t, Algorithms for VLSI, systolic search tree, systolic array, VLSI complexity, dictionary machine
12Stefan Appel, Kai Sachs, Alejandro P. Buchmann Towards benchmarking of AMQP. Search on Bibsonomy DEBS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
12Hua Xiang 0001, Haoxing Ren, Louise Trevillyan, Lakshmi N. Reddy, Ruchir Puri, Minsik Cho Logical and physical restructuring of fan-in trees. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF symmetric-function fan-in tree, restructure, commutative
12Venkata Rajesh Mekala, Yifang Liu, Xiaoji Ye, Jiang Hu, Peng Li 0001 Accurate clock mesh sizing via sequential quadraticprogramming. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF optimization, sequential quadratic programming
12Xiaoqing Yang, Tak-Kei Lam, Yu-Liang Wu ECR: a low complexity generalized error cancellation rewiring scheme. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF error cancellation, ATPG, rewire
12Xiaoxia Wu, Paul Falkenstern, Krishnendu Chakrabarty, Yuan Xie 0001 Scan-chain design and optimization for three-dimensional integrated circuits. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF scan-chain design, genetic algorithm, integer linear programming, randomized rounding, LP relaxation, 3D ICs
12Sungyul Choe, Junho Kim 0001, Haeyoung Lee, Seungyong Lee 0001 Random Accessible Mesh Compression Using Mesh Chartification. Search on Bibsonomy IEEE Trans. Vis. Comput. Graph. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Hu Xu 0002, Vasilis F. Pavlidis, Giovanni De Micheli Repeater Insertion for Two-Terminal Nets in Three-Dimensional Integrated Circuits. Search on Bibsonomy NanoNet The full citation details ... 2009 DBLP  DOI  BibTeX  RDF timing optimization, on-chip interconnect, repeater insertion, 3-D ICs
12Yohan Noh, Masanao Segawa, Akihiro Shimomura, Hiroyuki Ishii, Jorge Solis, Atsuo Takanishi, Kazuyuki Hatake Development of the airway management training system WKA-2 designed to reproduce different cases of difficult airway. Search on Bibsonomy ICRA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Rosemary M. Francis, Simon W. Moore FPGAs with time-division multiplexed wiring: an architectural exploration and area analysis. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF tdm wiring, fpga, routing
12Ke-Ren Dai, Wen-Hao Liu, Yih-Lang Li Efficient simulated evolution based rerouting and congestion-relaxed layer assignment on 3-D global routing. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Chia-I Chen, Juinn-Dar Huang CriAS: a performance-driven criticality-aware synthesis flow for on-chip multicycle communication architecture. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Daisuke Yamamoto, Shotaro Ozeki, Naohisa Takahashi Wired Fisheye Lens: A Motion-Based Improved Fisheye Interface for Mobile Web Map Services. Search on Bibsonomy W2GIS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Focus+Glue+Context, Fisheye views, Mobile maps, Web map service
12Shiyan Hu, Zhuo Li 0001, Charles J. Alpert A faster approximation scheme for timing driven minimum cost layer assignment. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dynamic programming, np-complete, oracle, fully polynomial time approximation scheme, layer assignment
12Zhimin Chen 0002, Syed Haider, Patrick Schaumont Side-Channel Leakage in Masked Circuits Caused by Higher-Order Circuit Effects. Search on Bibsonomy ISA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Po-Yang Hsu, Shu-Ting Lee, Fu-Wei Chen, Yi-Yu Liu Buffer design and optimization for lut-based structured ASIC design styles. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF structured asic, interconnection, buffer insertion
12Bao Liu 0001 Adaptive voltage controlled nanoelectronic addressing for yield, accuracy and resolution. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Yuchun Ma, Xiang Qiu, Xiangqing He, Xianlong Hong Incremental power optimization for multiple supply voltage design. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Ming Xu, Gary Gréwal, Shawki Areibi, Charlie Obimbo, Dilip K. Banerji Near-linear wirelength estimation for FPGA placement. Search on Bibsonomy CCECE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 4639 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license