The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for analog with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1953-1954 (19) 1955-1956 (22) 1957 (21) 1958-1959 (24) 1960 (15) 1961 (17) 1962 (25) 1963 (18) 1964 (19) 1965 (21) 1966-1967 (34) 1968 (25) 1969-1970 (21) 1971-1972 (16) 1973-1974 (15) 1975-1976 (22) 1977-1978 (22) 1979 (18) 1980-1981 (28) 1982 (18) 1983 (17) 1984 (21) 1985 (17) 1986 (20) 1987 (16) 1988 (59) 1989 (59) 1990 (76) 1991 (77) 1992 (83) 1993 (127) 1994 (173) 1995 (177) 1996 (188) 1997 (171) 1998 (234) 1999 (337) 2000 (261) 2001 (304) 2002 (364) 2003 (453) 2004 (470) 2005 (587) 2006 (656) 2007 (627) 2008 (565) 2009 (400) 2010 (381) 2011 (400) 2012 (457) 2013 (438) 2014 (431) 2015 (494) 2016 (488) 2017 (512) 2018 (543) 2019 (551) 2020 (512) 2021 (553) 2022 (580) 2023 (634) 2024 (147)
Publication types (Num. hits)
article(5354) book(29) data(13) incollection(38) inproceedings(8474) phdthesis(171) proceedings(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2846 occurrences of 1432 keywords

Results
Found 14080 publication records. Showing 14080 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
20Vishal Hiremath, Robert W. Proctor, Richard O. Fanjoy, Robert G. Feyen, John P. Young Comparison of Pilot Recovery and Response Times in Two Types of Cockpits. Search on Bibsonomy HCI (9) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF glass cockpits, digital displays, analog displays, displays, aviation
20Ming Liu, Hua Yu, Wei Wang 0003 FPAA Based on Integration of CMOS and Nanojunction Devices for Neuromorphic Applications. Search on Bibsonomy NanoNet The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Field programmable analog arrays (FPAA), Nanojunction devices, Operational amplifier (Op-amp)
20Guoyong Shi, Weiwei Chen, C.-J. Richard Shi A Graph Reduction Approach to Symbolic Circuit Analysis. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF symbolic analog circuit simulator, symbolic circuit analysis, recursive sign determination algorithm, binary decision diagram, graph reduction
20Zuying Luo, Yici Cai, Sheldon X.-D. Tan, Xianlong Hong, Xiaoyi Wang, Zhu Pan, Jingjing Fu Time-domain analysis methodology for large-scale RLC circuits and its applications. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF RLC circuits, analog circuit analysis, P/G networks, algorithm complexity, time-domain analysis
20Fabio Lacerda, Stefano Pietri, Alfredo Olmos A differential switched-capacitor amplifier with programmable gain and output offset voltage. Search on Bibsonomy SBCCI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF differential to single ended, switched capacitor stage, analog integrated circuits
20Alessandro Girardi, Fernando da Rocha Paixão Cortes, Eduardo Conrad Jr., Sergio Bampi T-shaped association of transistors: modeling of multiple channel lengths and regular associations. Search on Bibsonomy SBCCI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF associations of transistors, modeling, analog design, MOSFET
20Jérôme Durand-Lose Abstract Geometrical Computation: Turing-Computing Ability and Undecidability. Search on Bibsonomy CiE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Analog model of computation, Turing universality, Cellular automata, Geometry, Abstract geometrical computation
20Samiran Halder, Arindrajit Ghosh, Ravi Sankar Prasad, Anirban Chatterjee, Swapna Banerjee A 160MSPS 8-Bit Pipeline Based ADC. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Double sampling Sample-and-Hold, Multiplying digital-to-analog converter, Pipeline architecture, Comparator
20Anuradha Agarwal, Hemanth Sampath, Veena Yelamanchili, Ranga Vemuri Fast and accurate parasitic capacitance models for layout-aware. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF layout aware, parasitic estimation, analog synthesis
20Michael A. Caloyannides Digital "Evidence" and Reasonable Doubt. Search on Bibsonomy IEEE Secur. Priv. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF analog data, privacy, digital forensics, digital evidence, data entry
20Jianhua Gan, Shouli Yan, Jacob A. Abraham Design and modeling of a 16-bit 1.5MSPS successive approximation ADC with non-binary capacitor array. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF non-binary capacitor array, successive approximation, calibration, analog-to-digital converter
20Brian W. Amick, Claude R. Gauthier, Dean Liu Macro-modeling concepts for the chip electrical interface. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF VLSI power distribution, analog and I/O power delivery, high speed microprocessor design, inductance
20José Vicente Calvano, Antonio Carneiro de Mesquita Filho, Vladimir Castro Alves, Marcelo Lubaszewski Fault Models and Test Generation for OpAmp Circuits - The FFM. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF test generation, fault model, analog test, operational amplifiers
20Rafael C. Carrasco, Mikel L. Forcada Simple Strategies to Encode Tree Automata in Sigmoid Recursive Neural Networks. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF analog neural networks, Tree automata, neural computation, recursive neural networks
20Hans A. R. Manhaeve, Johan Verfaillie, B. Straka, J. P. Cornil Application of Supply Current Testing to Analogue Circuits, Towards a Structural Analogue Test Methodology. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF supply current test, I DD, I DDX monitor, analog test, structural test, mixed-signal test, current monitor
20Melissa Moy, David B. Stewart An Engineering Approach to Determining Sampling Rates for Switches and Sensors in Real-Time Systems. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF component-based device drivers, switch matrix, real-time scheduling, parallel I/O, analog-to-digital converter, software modeling, real-time operating system, experimental software engineering, digital control systems
20Jeongjin Roh, Jacob A. Abraham A Comprehensive TDM Comparator Scheme for Effective Analysis of Oscillation-Based Test. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF oscillation test, BIST, signature, analog, time-division multiplexing, comparator, mixed-signal
20Benoit Provost, Edgar Sánchez-Sinencio, Anna Maria Brosa A Unified Approach for a Time-Domain Built-In Self-Test Technique and Fault Detection. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF NGCC amplifier, BIST, Fault-coverage, Analog testing, Time-domain
20Ananta K. Majhi, Vishwani D. Agrawal Mixed-Signal Test. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF a survey of mixed-signal testing, tools and methods for mixed-signal test, Analog test
20Bapiraju Vinnakota, Ramesh Harjani, Wooyoung Choi Pseudoduplication - An ACOB Technique for Single-Ended Circuits. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF pseudoduplication, ACOB, analog circuit observer block, single-ended switched capacitor filter, data duplication code, simulation, fault detection, layout, design for test, switched capacitor filters
20Robert M. Gray, Richard A. Olshen, D. Ikeda, Pamela C. Cosman, Sharon M. Perlmutter, Cheryl L. Nash, Keren Perlmutter Evaluating quality and utility in digital mammography. Search on Bibsonomy ICIP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF digital mammography utility, digital mammography quality evaluation, scientists, insurance companies, lawyers, computer-aided diagnostic methodology, clinical experiments, data compression, image enhancement, medical image processing, engineers, regulators, reviews, quality control, protocols design, administrators, medical diagnostic imaging, lossy compression, diagnostic radiography, patients, analogue-digital conversion, analog-to-digital conversion
20Isaac Rosenhouse, Anthony J. Weiss Combined Analog and Digital Error-Correcting Codes For Analog Information Sources. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Isaac Rosenhouse, Anthony J. Weiss Combined Analog and Digital Error-Correcting Codes for Analog Information Sources. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Kenneth S. Kundert, Henry Chang Model-based functional verification. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
19Zhuizhuan Yu, Sebastian Hoyos, Brian M. Sadler Mixed-signal parallel compressed sensing and reception for cognitive radio. Search on Bibsonomy ICASSP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Ye Li, Bertan Bakkaloglu, Chaitali Chakrabarti A System Level Energy Model and Energy-Quality Evaluation for Integrated Transceiver Front-Ends. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19David M. Baylon On the Detection of Temporal Field Order in Interlaced Video Data. Search on Bibsonomy ICIP (6) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Pedro Tejera, Wolfgang Utschick Feedback of Channel State Information in Wireless Systems. Search on Bibsonomy ICC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Paolo Maffezzoni, Lorenzo Codecasa, Dario D'Amore Event-Driven Time-Domain Simulation of Closed-Loop Switched Circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Ewout Martens, Georges G. E. Gielen Analyzing continuous-time Delta-Sigma-Modulators with generic behavioral models. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Ashkan Olyaei, Roman Genov Algorithmic Delta-Sigma-modulated FIR filter. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Gordon Allan, John Knight, Norman M. Filiol, Tom A. D. Riley Digitally Place and Routed Up-converting Bandpass DAC. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Sheldon X.-D. Tan A general hierarchical circuit modeling and simulation algorithm. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Ying Song, Yu Gong, Sen M. Kuo A robust hybrid feedback active noise cancellation headset. Search on Bibsonomy IEEE Trans. Speech Audio Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Bo Hu, Zhao Li, Lili Zhou, C.-J. Richard Shi, Kwang-Hyun Baek, Myung-Jun Choe Model-compiler based efficient statistical circuit analysis: an industry case study of a 4 GHz/6-bit ADC/DAC/DEMUX ASIC. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Kazuhiro Shimonomura, Tetsuya Yagi A 100×100 pixels orientation-selective multi-chip vision system. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Rafal Karakiewicz, Roman Genov Minimal activity mixed-signal VLSI architecture for real-time linear transforms in video. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Shaolei Quan, Meng-Yao Liu, Chin-Long Wey Design of a CMOS Operational Amplifier Amenable to Extreme Voltage Stress. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Adão Antônio de Souza Jr., Luigi Carro Highly Digital, Low-Cost Design of Statistic Signal Acquisition in SoCs. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Eugenio Culurciello, Andreas G. Andreou An 8-bit, 1mW successive approximation ADC in SOI CMOS. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Ari Paasio, Mika Laiho, Asko Kananen, Kari Halonen, Jonne Poikonen A 32×32 cellular test chip targeting new functionalities. Search on Bibsonomy ISCAS (3) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Jung Hyun Choi, Sergio Bampi OTA Amplifiers Design on Digital Sea-of-Transistors Array. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Paul E. Hasler, Bradley A. Minch, Chris Diorio Floating-gate devices: they are not just for digital memories any more. Search on Bibsonomy ISCAS (2) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Keith Hanna Reasoning about Imperfect Digital Systems. Search on Bibsonomy Prospects for Hardware Foundations The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
19Gert Cauwenberghs Bit-serial bidirectional A/D/A conversio. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF digital-analogue conversion, bidirectional bit-serial convertor, algorithmic DAC conversion, successive approximation ADC, D/A conversion, fault-tolerant VLSI architecture, matched monotonic characteristics, 200 muW, 20 mus, VLSI, CMOS integrated circuits, analogue-digital conversion, integrated circuit reliability, A/D conversion, 2 micron, CMOS process
19Gilbert P. Hyatt, Gene Ohlberg Electrically alterable digital differential analyzer. Search on Bibsonomy AFIPS Spring Joint Computing Conference The full citation details ... 1968 DBLP  DOI  BibTeX  RDF
18Stephen K. Sunter, Aubin Roy A Mixed-Signal Test Bus and Analog BIST with 'Unlimited' Time and Voltage Resolution. Search on Bibsonomy ETS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF mixed-signal DFT, analog bus, mixed-signal BIST
18Naoki Sugiyama, Hiroshi Noto, Yoshito Nishigami, Ryosuke Oda, Takao Waho A Low-Power Successive Approximation Analog-to-Digital Converter Based on 2-Bit/Step Comparison. Search on Bibsonomy ISMVL The full citation details ... 2010 DBLP  DOI  BibTeX  RDF successive approximation, low-power, analog-to-digital converter, multiple-valued
18Amlan Ghosh, Rob Franklin, Richard B. Brown Analog Circuit Design Methodologies to Improve Negative-Bias Temperature Instability Degradation. Search on Bibsonomy VLSI Design The full citation details ... 2010 DBLP  DOI  BibTeX  RDF analog circuit design methodologies, input switching, NBTI, body biasing
18Ahmad Tahmasebi, Arash Kamali, Hossein Balazadeh Bahar, Ziaeddin Daie Koozeh Kanani A Fully Digital Background Calibration Technique for Pipeline Analog-to-Digital Converters. Search on Bibsonomy ICSAP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF digital calibration, pipeline converters, Analog to digital converter (ADC)
18Vladimir A. Zivkovic, Frank van der Heyden, Guido Gronthoud, Frans G. M. de Jong Analog Test Bus Infrastructure for RF/AMS Modules in Core-Based Design. Search on Bibsonomy ETS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Modular Test, Analog Test, Test Architecture
18Gordon W. Roberts, Mohammed Ali-Bakhshian Time-domain analog signal processing techniques. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF time-domain analog signal processing, process variation
18Lelia Festila, Lorant Andras Szolga, Mihaela Cirlugea, Robert Groza Analog Multiplying/Weighting VLSI Cells for SVM Classifiers. Search on Bibsonomy KES (3) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF weighting circuits, th domain, square-root domain, current controlled amplifiers, analog multipliers
18Koudai Hiratsuka, Kazuhiro Kondo, Kiyoshi Nakagawa On the Accuracy of Estimated Synchronization Positions for Audio Digital Watermarks Using the Modified Patchwork Algorithm on Analog Channels. Search on Bibsonomy IIH-MSP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Patchwork method, Analog channels, Synchronization, Digital Watermarks, Audio signal
18Matthew A. Smith, Lars A. Schreiner, Erich Barke, Volker Meyer zu Bexten Algorithms for automatic length compensation of busses in analog integrated circuits. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF analog routing, length compensation, net bundles, paired nets, virtual terminals, routing, EDA, RF, bus routing, IC-layout
18José Pineda de Gyvez, Guido Gronthoud, Rashid Amine Multi-VDD Testing for Analog Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF VDD, ramp, test, analog, IDDQ
18Haralampos-G. D. Stratigopoulos, Yiorgos Makris Generating decision regions in analog measurement spaces. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF implicit functional test, neural networks, analog circuits
18Saurabh K. Tiwary, Rob A. Rutenbar Scalable trajectory methods for on-demand analog macromodel extraction. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF trajectory method, analog, SPICE, circuit, macromodel
18Daniel Mueller 0001, Guido Stehr, Helmut E. Graeb, Ulf Schlichtmann Deterministic approaches to analog performance space exploration (PSE). Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF performance space exploration, pareto optimization, analog integrated circuits, fourier motzkin elimination
18Raoul F. Badaoui, Hemanth Sampath, Anuradha Agarwal, Ranga Vemuri A high level language for pre-layout extraction in parasite-aware analog circuit synthesis. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF MSL, pre-layout extraction, parasitics, analog VLSI
18Reza Lotfi, Mohammad Taherzadeh-Sani, M. Yaser Azizi, Omid Shoaei A low-power design methodology for high-resolution pipelined analog-to-digital converters. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF low-power design, operational amplifiers, pipelined analog-to-digital converters
18Jincheol Yoo, Daegyu Lee, Kyusun Choi, Jongsoo Kim A power and resolution adaptive flash analog-to-digital converter. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF TIQ comparator, flash ADC, inverter quantization, adaptive, threshold, analog-to-digital converter
18Mandeep Singh, Israel Koren Incorporating Fault Tolerance in Analog-to-Digital Converters (ADCs). Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Fault sensitivity, Alpha particle, Fault tolerance, Reliability, Transient faults, Analog-to-Digital Converters
18Mustapha Slamani, Karim Arabi Reducing Test Time in the High-Volume Production of Analog Circuits using Efficient Test-Vector Generation and Interpolation Techniques. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF analog circuits testing, interpolation technique, sensitivity analysis, frequency domain analysis, test vectors generation
18Sree Ganesan, Ranga Vemuri Technology Mapping and Retargeting for Field-Programmable Analog Arrays. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Rapid prototyping Field-programmable analog arrays, Technology Mapping, Retargeting, FPAA
18Madhu K. Iyer, Michael L. Bushnell Effect of Noise on Analog Circuit Testing. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF analog test generation, noise analysis
18Martin H. Levin Use of a soundcard in teaching audio frequency and analog modem communications. Search on Bibsonomy ITiCSE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF analog telephone systems, dual tone multiple frequencies, soundcards, telephone modems, visual pictorization, handshakes
18Francis G. Wolff, Michael J. Knieser, Daniel J. Weyer, Christos A. Papachristou Using codesign techniques to support analog functionality. Search on Bibsonomy CODES The full citation details ... 1999 DBLP  DOI  BibTeX  RDF design methodologies, analog, hardware/software codesign
18Markus Wolf 0001, Ulrich Kleine Automatic Topology Optimization for Analog Module Generators. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF optimization, layout, analog, module generators
18Todd Hinck, Allyn E. Hubbard Image Edge Enhancement, Dynamic Compression and Noise Suppression using Analog Circuit Processing. Search on Bibsonomy ARVLSI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Silicon Retina, Image Edge Enhancement, Neural Network, Spatial Filtering, Analog VLSI
18Cheng-Ping Wang, Chin-Long Wey Test Generation Of Analog Switched-Current Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF switched current circuits, analog switched-current circuits, current switches, voltage switches, noncatastrophic faults, transistor switches, full testability, current copiers, stray inductance, CMOS switch, BIST design, fault model, circuit simulation, macromodel, switched-capacitor circuits, test sequence generation, catastrophic faults
18Diego Vázquez, Adoración Rueda, José L. Huertas A solution for the on-line test of analog ladder filters. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF ladder filters, analog ladder filters, stability problems, design for test methodology, solution feasibility, analogue ICs, integrated circuit testing, design for testability, on-line testing, analogue integrated circuits, active filters, active filters, circuit stability
18György Turán, Farrokh Vatan On the Computation of Boolean Functions by Analog Circuits of Bounded Fan-in (Extended Abstract) Search on Bibsonomy FOCS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF explicit nonlinear lower bounds, computation of Boolean functions, bounded fan-in, real-valued functions, sign-representation, n-variable function, piecewise linear circuits, complexity, upper bounds, nondeterminism, analog circuits
18David Blair Kirk, Alan H. Barr Implementing rotation matrix constraints in Analog VLSI. Search on Bibsonomy SIGGRAPH The full citation details ... 1993 DBLP  DOI  BibTeX  RDF constraint solution, adaptive, interaction, VLSI, robotics, animation, CMOS, analog, rotation
18Nenad Marovac Sieve Method for Real-Time Computer-Aided Extraction of Multivalued Analog Signals in the Presence of Noise. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1978 DBLP  DOI  BibTeX  RDF Analog signals, computer-aided signal processing, real time, noise
18Art Lew On Analog Computer Generation of Continuous Functions. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1977 DBLP  DOI  BibTeX  RDF analytic function generation, differential approximation, Bessel functions, Analog computation
18Granino A. Korn, Robert Vichnevetsky Analog/Hybrid Computation and Digital Simulation. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1976 DBLP  DOI  BibTeX  RDF Continuous-system simulation, analog/hybrid computation, simulation, interactive computers
17Zheng Liu, Lihong Zhang Performance-constrained template-driven retargeting for analog and RF layouts. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF optimization, performance, layout, retargeting, parasitics
17Nobuyuki Itoh, Mototsugu Hamada RF-analog circuit design in scaled SoC. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Linfu Xiao, Evangeline F. Y. Young Analog placement with common centroid and 1-D symmetry constraints. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Yu Liu, Masato Yoshioka, Katsumi Homma, Toshiyuki Shibuya Efficiently finding the 'best' solution with multi-objectives from multiple topologies in topology library of analog circuit. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Jonathan W. Mills Awakening the Analogue Computer: Rubel's Extended Analog Computer Workshop. Search on Bibsonomy UC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Rui He, Lihong Zhang Artificial neural network application in analog layout placement design. Search on Bibsonomy CCECE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Zheng Liu, Lihong Zhang Performance-constrained parasitic-aware retargeting and optimization of analog layouts. Search on Bibsonomy CCECE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Shubhankar Basu, Balaji Kommineni, Ranga Vemuri Variation-Aware Macromodeling and Synthesis of Analog Circuits Using Spline Center and Range Method and Dynamically Reduced Design Space. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Pei-Wen Luo, Jwu-E Chen, Chin-Long Wey, Liang-Chia Cheng, Ji-Jan Chen, Wen Ching Wu Impact of Capacitance Correlation on Yield Enhancement of Mixed-Signal/Analog Integrated Circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Rafael Castro-López, Oscar Guerra, Elisenda Roca, Francisco V. Fernández 0001 An Integrated Layout-Synthesis Approach for Analog ICs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Reza Hashemian Use of local biasing in designing analog integrated circuits. Search on Bibsonomy EIT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Chadi Abou-Rjeily, Georges El-Howayeck A simple analog space-time coded Transmitted-Reference MIMO UWB transceiver. Search on Bibsonomy PIMRC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Motoji Yamamoto, Takeshi Ikeda, Yoshinobu Sasaki Real-time analog input device using breath pressure for the operation of powered wheelchair. Search on Bibsonomy ICRA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Petru Bogdan Bacinschi, Tudor Murgan, Klaus Koch, Manfred Glesner An Analog On-Chip Adaptive Body Bias Calibration for Reducing Mismatches in Transistor Pairs. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Almitra Pradhan, Ranga Vemuri Fast Analog Circuit Synthesis Using Sensitivity Based Near Neighbor Searches. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Guo Yu, Peng Li 0001 Yield-aware hierarchical optimization of large analog integrated circuits. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Trent McConaghy, Pieter Palmers, Georges G. E. Gielen, Michiel Steyaert Automated extraction of expert knowledge in analog topology selection and sizing. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Peng Gao, Trent McConaghy, Georges G. E. Gielen Importance sampled circuit learning ensembles for robust analog IC design. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Boris Murmann, Christian Vogel 0001, Heinz Koeppl Digitally enhanced analog circuits: System aspects. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Guillaume Ferré, Maher Jridi, Lilian Bossuet, Bertrand Le Gal, Dominique Dallet A new orthogonal online digital calibration for time-interleaved analog-to-digital converters. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Csaba Petre, Craig Schlottmann, Paul E. Hasler Automated conversion of Simulink designs to analog hardware on an FPAA. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Stephen Pfetsch, Tamer Ragheb, Jason N. Laska, Hamid Nejati, Anna C. Gilbert, Martin Strauss 0001, Richard G. Baraniuk, Yehia Massoud On the feasibility of hardware implementation of sub-Nyquist random-sampling based analog-to-information conversion. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Yukiya Miura, Jiro Kato Diagnosis of Analog Circuits by Using Multiple Transistors and Data Sampling. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 14080 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license