The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for scan with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1959-1969 (15) 1970-1976 (15) 1977-1979 (18) 1980-1981 (16) 1982-1983 (15) 1984-1985 (22) 1986 (19) 1987 (23) 1988 (26) 1989 (42) 1990 (48) 1991 (58) 1992 (71) 1993 (76) 1994 (82) 1995 (119) 1996 (105) 1997 (124) 1998 (148) 1999 (156) 2000 (135) 2001 (171) 2002 (262) 2003 (287) 2004 (377) 2005 (394) 2006 (452) 2007 (487) 2008 (471) 2009 (338) 2010 (217) 2011 (183) 2012 (184) 2013 (186) 2014 (202) 2015 (173) 2016 (181) 2017 (238) 2018 (236) 2019 (222) 2020 (235) 2021 (287) 2022 (268) 2023 (286) 2024 (69)
Publication types (Num. hits)
article(2768) book(2) data(1) incollection(30) inproceedings(4908) phdthesis(28) proceedings(2)
Venues (Conferences, Journals, ...)
ITC(391) CoRR(262) VTS(210) IEEE Trans. Comput. Aided Des....(199) Asian Test Symposium(178) J. Electron. Test.(149) DATE(95) IEEE Trans. Very Large Scale I...(87) ATS(85) IROS(83) ICRA(80) DAC(79) VLSI Design(72) IEEE Trans. Computers(69) Sensors(69) DFT(68) More (+10 of total 1786)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 3962 occurrences of 1873 keywords

Results
Found 7741 publication records. Showing 7739 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
25Hans-Joachim Hof, Ingmar Baumgart, Martina Zitterbart Key Exchange for Service Discovery in Secure Content Addressable Sensor Networks. Search on Bibsonomy KiVS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Irith Pomeranz, Sudhakar M. Reddy Transparent DFT: a design for testability and test generation approach for synchronous sequential circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Xiao Liu 0010, Michael S. Hsiao, Sreejit Chakravarty, Paul J. Thadikaran Efficient techniques for transition testing. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF test chain, test data volume reduction, transition faults, Test application time reduction, yield loss
25Praveen Parvathala High Level Test Generation / SW based Embedded Test. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Mango Chia-Tso Chao, Seongmoon Wang, Srimat T. Chakradhar, Kwang-Ting Cheng ChiYun Compact: A Novel Test Compaction Technique for Responses with Unknown Values. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Prasad Jayanti An optimal multi-writer snapshot algorithm. Search on Bibsonomy STOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF fault-tolerant, asynchronous, wait-free, snapshot, lock-free, concurrent algorithm
25Terumine Hayashi, Haruna Yoshioka, Tsuyoshi Shinogi, Hidehiko Kita, Haruhiko Takase Test data compression technique using selective don't-care identification. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Tilo Gockel, Pedram Azad, Rüdiger Dillmann Calibration Issues for Projector-based 3D-Scanning. Search on Bibsonomy SMI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Pattern Projector, 3D Shape Acquisition, Single Shot, Calibration, 3D Scanning, Structured Light
25Giuseppe Patanè 0001, Michela Spagnuolo Multi-resolution and slice-oriented feature extraction and segmentation of digitized data. Search on Bibsonomy Symposium on Solid Modeling and Applications The full citation details ... 2002 DBLP  DOI  BibTeX  RDF segmentation, reverse engineering, feature detection
25Marwan Krunz, George Apostolopoulos Efficient Support for Interactive Scanning Operations in MPEG-Based Video-on-Demand Systems. Search on Bibsonomy Multim. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Video scheduling, Interactive video-on-demand, MPEG, Scanning operations
25Hsung-Pin Chang, Ruei-Chuan Chang, Ray-I Chang, Wei-Kuan Shih Enlarged-Maximum-Scannable-Groups for Real-Time Disk Scheduling in a Multimedia System. Search on Bibsonomy COMPSAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
25Dilip K. Bhavsar Testing Interconnections to Static RAMs. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
25Mathew D. Hunter, Quoc Hao Mach, Ratvinder Singh Grewal 0001 The relationship between scan path direction and cognitive processing. Search on Bibsonomy C3S2E The full citation details ... 2010 DBLP  DOI  BibTeX  RDF QEEG, human-computer interaction, eye tracking, cognitive processing
25Sobeeh Almukhaizim, Ozgur Sinanoglu Dynamic Scan Chain Partitioning for Reducing Peak Shift Power During Test. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
25Michael Bosse, Robert Zlot Continuous 3D scan-matching with a spinning 2D laser. Search on Bibsonomy ICRA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
25Zhanglei Wang, Krishnendu Chakrabarty Test Data Compression Using Selective Encoding of Scan Slices. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Irith Pomeranz, Sudhakar M. Reddy Scan-Based Delay Test Types and Their Effect on Power Dissipation During Test. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Wei-Shun Chuang, Shiu-Ting Lin, Wei-Chih Liu, James Chien-Mo Li Diagnosis of Multiple Scan Chain Timing Faults. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Lifeng He, Yuyan Chao, Kenji Suzuki 0001 A Run-Based Two-Scan Labeling Algorithm. Search on Bibsonomy IEEE Trans. Image Process. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Jeng-Ji Huang, Sen-Ching Chang A hybrid channel scan mechanism for improved VoIP handoff performance in 802.11 WLANs. Search on Bibsonomy PIMRC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Fu-Sheng Huang, Kai-Tai Song Vision SLAM using omni-directional visual scan matching. Search on Bibsonomy IROS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Jia Li 0022, Qiang Xu 0001, Yu Hu 0001, Xiaowei Li 0001 On reducing both shift and capture power for scan-based testing. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Jia Li 0022, Xiao Liu 0011, Yubin Zhang, Yu Hu 0001, Xiaowei Li 0001, Qiang Xu 0001 On capture power-aware test data compression for scan-based testing. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Fan Yang 0060, Sreejit Chakravarty, Narendra Devta-Prasanna, Sudhakar M. Reddy, Irith Pomeranz Detection of Transistor Stuck-Open Faults in Asynchronous Inputs of Scan Cells. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Gaurav Sengar, Debdeep Mukhopadhyay, Dipanwita Roy Chowdhury Secured Flipped Scan-Chain Model for Crypto-Architecture. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Enrique Coiras, Yvan R. Petillot, David M. Lane Multiresolution 3-D Reconstruction From Side-Scan Sonar Images. Search on Bibsonomy IEEE Trans. Image Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Teng Lin, Jianhua Feng, Yangyuan Wang A New Test Data Compression Scheme for Multi-scan Designs. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Haruhiko Takeuchi, Yoshiko Habuchi A Quantitative Method for Analyzing Scan Path Data Obtained by Eye Tracker. Search on Bibsonomy CIDM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Barry Irwin, Jean-Pierre van Riel Using InetVis to Evaluate Snort and Bro Scan Detection on a Network Telescope. Search on Bibsonomy VizSEC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Hao Fang 0008, Chenguang Tong, Xu Cheng RunBasedReordering: A Novel Approach for Test Data Compression and Scan Power. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Grigorios Chrysos 0001, Apostolos Dollas, Nikolaos G. Bourbakis, J. Sukarno Mertoguno An Integrated Video Compression, Encryption and Information Hiding Architecture based on the SCAN Algorithm and the Stretch Technology. Search on Bibsonomy FCCM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Vishnu C. Vimjam, M. Enamul Amyeen, Ruifeng Guo, Srikanth Venkataraman, Michael S. Hsiao, Kai Yang Using Scan-Dump Values to Improve Functional-Diagnosis Methodology. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Kedarnath J. Balakrishnan Efficient Scan-Based BIST Using Multiple LFSRs and Dictionary Coding. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Kim T. Le, Dong Hyun Baik, Kewal K. Saluja Test Time Reduction to Test for Path-Delay Faults using Enhanced Random-Access Scan. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Edward Flanigan, Rajsekhar Adapa, Hailong Cui, Michael Laisne, Spyros Tragoudas, Tsvetomir Petrov Function-based ATPG for Path Delay Faults using the Launch-Off-Capture Scan Architecture. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Kaushal Solanki, Upamanyu Madhow, B. S. Manjunath, Shivkumar Chandrasekaran, Ibrahim El-Khalil 'Print and Scan' Resilient Data Hiding in Images. Search on Bibsonomy IEEE Trans. Inf. Forensics Secur. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Zhuo Zhang 0008, Sudhakar M. Reddy, Irith Pomeranz, Janusz Rajski, Bashir M. Al-Hashimi Enhancing Delay Fault Coverage through Low Power Segmented Scan. Search on Bibsonomy ETS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Xuan-Lun Huang, Jiun-Lang Huang A routability constrained scan chain ordering technique for test power reduction. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Qianli Zhang, Xing Li A Hypothesis Testing Based Scalable TCP Scan Detection. Search on Bibsonomy ICOIN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Irith Pomeranz, Sudhakar M. Reddy Scan-Based Delay Fault Tests for Diagnosis of Transition Faults. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Adit D. Singh, Gefu Xu Output Hazard-Free Transition Tests for Silicon Calibrated Scan Based Delay Testing. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Hazard-Free, Test, Delay, Transition
25Kun Young Chung, Sandeep K. Gupta 0001 Low-Cost Scan-Based Delay Testing of Latch-Based Circuits with Time Borrowing. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Aristides Efthymiou, John Bainbridge, Douglas A. Edwards Test pattern generation and partial-scan methodology for an asynchronous SoC interconnect. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25James Chien-Mo Li Diagnosis of single stuck-at faults and multiple timing faults in scan chains. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Evgeny Artyomov, Yair Rivenson, Guy Levi, Orly Yadid-Pecht Morton (Z) scan based real-time variable resolution CMOS image sensor. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Youhua Shi, Nozomu Togawa, Masao Yanagisawa, Tatsuo Ohtsuki, Shinji Kimura Low Power Test Compression Technique for Designs with Multiple Scan Chain. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Shih Ping Lin 0001, Chung-Len Lee 0001, Jwu E. Chen Adaptive Encoding Scheme for Test Volume/Time Reduction in SoC Scan Testing. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Jheng-Syun Yang, Shi-Yu Huang Quick Scan Chain Diagnosis Using Signal Profiling. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Ganapati P. Patil, Stephen L. Rathbun, Raj Acharya, Pushkar Patankar, Reza Modarres Upper level set scan statistic system for detecting arbitrarily shaped hotspots for digital governance. Search on Bibsonomy DG.O The full citation details ... 2005 DBLP  BibTeX  RDF confidence set of hotspots, geosurveillance statistics, hotspot rating, typology of space-time hotspots, prioritization, early warning, hotspot detection, surveillance geoinformatics partnership, decision support for hotspot detection
25Zhuo Zhang 0008, Sudhakar M. Reddy, Irith Pomeranz On Generating Pseudo-Functional Delay Fault Tests for Scan Designs. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Ahmad A. Al-Yamani, Narendra Devta-Prasanna, Arun Gunda Should Illinois-Scan Based Architectures be Centralized or Distributed? Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Maciej Bellos, Dimitris Bakalis, Dimitris Nikolos Scan Cell Ordering for Low Power BIST. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Baris Arslan, Alex Orailoglu CircularScan: A Scan Architecture for Test Cost Reduction. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Aristides Efthymiou, Christos P. Sotiriou, Douglas A. Edwards Automatic Scan Insertion and Pattern Generation for Asynchronous Circuits. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Zhiqiang You, Ken-ichi Yamaguchi, Michiko Inoue, Jacob Savir, Hideo Fujiwara Power-Constrained DFT Algorithms for Non-Scan BIST-able RTL Data Paths. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Liyang Lai, Thomas Rinderknecht, Wu-Tung Cheng, Janak H. Patel Logic BIST Using Constrained Scan Cells. Search on Bibsonomy VTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Baris Arslan, Alex Orailoglu Test Cost Reduction Through A Reconfigurable Scan Architecture. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Peilin Song, Franco Stellari, Alan J. Weger, Tian Xia A Novel Scan Chain Diagnostics Technique Based on Light Emission from Leakage Current. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Jeff Rearick, Sylvia Patterson, Krista Dorner Integrating Boundary Scan into Multi-GHz I/O Circuitry. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Kedarnath J. Balakrishnan, Nur A. Touba Improving Encoding Efficiency for Linear Decompressors Using Scan Inversion. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Yu Huang 0005, Wu-Tung Cheng, Cheng-Ju Hsieh, Huan-Yung Tseng, Alou Huang, Yu-Ting Hung Efficient Diagnosis for Multiple Intermittent Scan Chain Hold-Time Faults. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Joel Grodstein, Dilip K. Bhavsar, Vijay Bettada, Richard A. Davies Automatic Generation of Critical-Path Tests for a Partial-Scan Microprocessor. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Meng Lu, Yvon Savaria, Bing Qiu 0003, Jacques Taillefer IEEE 1149.1 Based Defect and Fault Tolerant Scan Chain for Wafer Scale Integration. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Shervin Sharifi, Mohammad Hosseinabady, Pedram A. Riahi, Zainalabedin Navabi Reducing Test Power, Time and Data Volume in SoC Testing Using Selective Trigger Scan Architecture. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Afshin Abdollahi, Farzan Fallah, Massoud Pedram Leakage Current Reduction in Sequential Circuits by Modifying the Scan Chains. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Manish Sharma, Janak H. Patel, Jeff Rearick Test Data Compression and Test Time Reduction of Longest-Path-Per-Gate Tests based on Illinois Scan Architecture. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Priyank Kalla, Maciej J. Ciesielski A comprehensive approach to the partial scan problem using implicitstate enumeration. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25Huaguo Liang, Sybille Hellebrand, Hans-Joachim Wunderlich Two-Dimensional Test Data Compression for Scan-Based Deterministic BIST. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF store and generate schemes, BIST, test data compression, deterministic BIST
25Kuen-Jong Lee, Jih-Jeen Chen Reducing Test Application Time and Power Dissipation for Scan-Based Testing via Multiple Clock Disabling. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25Holger Bischof, Sergei Gorlatch Double-Scan: Introducing and Implementing a New Data-Parallel Skeleton. Search on Bibsonomy Euro-Par The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25Ozgur Sinanoglu, Ismet Bayraktaroglu, Alex Orailoglu Test Power Reduction through Minimization of Scan Chain Transitions. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25Seongmoon Wang Generation of Low Power Dissipation and High Fault Coverage Patterns for Scan-Based BIST. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25Tsung-Chu Huang, Kuen-Jong Lee Reduction of power consumption in scan-based circuits during testapplication by an input control technique. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
25Kevin Stanley High-Accuracy Flush-and-Scan Software Diagnostic. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
25Yannick Bonhomme, Patrick Girard 0001, Loïs Guiller, Christian Landrault, Serge Pravossoudovitch A Gated Clock Scheme for Low Power Scan-Based BIST. Search on Bibsonomy IOLTW The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
25Josef Schmid, Timo Schüring, Christoph Smalla Using the Boundary Scan Delay Chain for Cross-Chip Delay Measurement and Characterization of Delay Modeling Flow. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
25Irith Pomeranz, Sudhakar M. Reddy Functional Test Generation for Full Scan Circuits. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
25Irith Pomeranz, Sudhakar M. Reddy On Test Application Time and Defect Detection Capabilities of Test Sets for Scan Designs. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
25Ching-Hwa Cheng, Jinn-Shyan Wang, Shih-Chieh Chang, Wen-Ben Jone Low-Speed Scan Testing of Charge-Sharing Faults for CMOS Domino Circuits. Search on Bibsonomy DFT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
25Makoto Ikeda, Hideyuki Aoki, Kunihiro Asada DVDT: Design for Voltage Drop Test Using Onchip-Voltage Scan Path. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
25Massimiliano Poletto, Vivek Sarkar Linear scan register allocation. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF compilers, register allocation, code optimization
25Hsing-Chung Liang, Chung-Len Lee An Effective Methodology for Mixed Scan and Reset Design Based on Test Generation and Structure of Sequential Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
25Sameer Sharma, Michael S. Hsiao Partial Scan Using Multi-Hop State Reachability Analysis. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
25Michael Kishinevsky, Alex Kondratyev, Luciano Lavagno, Alexander Saldanha, Alexander Taubin Partial scan delay fault testing of asynchronous circuits. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF robust path delay fault testing, asynchronous circuits, delay faults, sequential testing
25Chen-Huan Chiang, Sandeep K. Gupta 0001 BIST TPGs for Faults in Board Level Interconnect via Boundary Scan. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
25Kun-Han Tsai, Sybille Hellebrand, Janusz Rajski, Malgorzata Marek-Sadowska STARBIST: Scan Autocorrelated Random Pattern Generation. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
25Seongmoon Wang, Sandeep K. Gupta 0001 ATPG for Heat Dissipation Minimization During Scan Testing. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
25Dhiraj K. Pradhan, Jayashree Saxena A novel scheme to reduce test application time in circuits with full scan. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
25Chengfu Yao, Jon G. Rokne Hybrid Scan-Conversion of Circles. Search on Bibsonomy IEEE Trans. Vis. Comput. Graph. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
25Debesh K. Das, Bhargab B. Bhattacharya Testable design of non-scan sequential circuits using extra logic. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF nonscan sequential circuits, sequentially redundant faults, multiple stuck-at-fault model, augmented logic, performance evaluation, logic testing, redundancy, test generation, design for testability, logic design, sequential circuits, logic synthesis, synchronous sequential circuits, benchmark circuits, testable design
25Sandip Kundu Diagnosing scan chain faults. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
25Jacob Savir, Srinivas Patil Scan-based transition test. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
25W. David Ballew, Lauren M. Streb Board-level boundary scan: regaining observability with an additional IC. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
25R. P. van Riessen, Hans G. Kerkhoff, A. Kloppenburg Designing and Implementing an Architecture with Boundary Scan. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
25Jon G. Rokne, Brian Wyvill, Xiaolin Wu 0001 Fast line scan-conversion. Search on Bibsonomy ACM Trans. Graph. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
25Siddhartha Chatterjee, Guy E. Blelloch, Marco Zagha Scan primitives for vector computers. Search on Bibsonomy SC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
25Arie E. Kaufman Efficient algorithms for 3D scan-conversion of parametric curves, surfaces, and volumes. Search on Bibsonomy SIGGRAPH The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
25Subhash C. Agrawal, Jeffrey P. Buzen, Ashok K. Thareja A Unified Approach to Scan Time Analysis of Token Rings and Polling Networks. Search on Bibsonomy SIGMETRICS The full citation details ... 1984 DBLP  DOI  BibTeX  RDF
24Ashish Goel, Swarup Bhunia, Hamid Mahmoodi-Meimand, Kaushik Roy 0001 Low-overhead design of soft-error-tolerant scan flip-flops with enhanced-scan capability. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Irith Pomeranz, Sudhakar M. Reddy Static Test Compaction for Full-Scan Circuits Based on Combinational Test Sets and Non-Scan Sequential Test Sequences. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 7739 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license