The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for pipeline with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1965-1975 (16) 1976-1978 (16) 1979-1982 (16) 1983-1984 (19) 1985 (17) 1986 (16) 1987 (28) 1988 (43) 1989 (32) 1990 (48) 1991 (21) 1992 (35) 1993 (35) 1994 (50) 1995 (81) 1996 (64) 1997 (93) 1998 (74) 1999 (113) 2000 (112) 2001 (143) 2002 (170) 2003 (232) 2004 (292) 2005 (344) 2006 (416) 2007 (383) 2008 (404) 2009 (327) 2010 (207) 2011 (168) 2012 (184) 2013 (223) 2014 (243) 2015 (254) 2016 (248) 2017 (322) 2018 (397) 2019 (425) 2020 (530) 2021 (695) 2022 (751) 2023 (814) 2024 (194)
Publication types (Num. hits)
article(3792) book(3) data(1) incollection(34) inproceedings(5387) phdthesis(78)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 3849 occurrences of 1991 keywords

Results
Found 9295 publication records. Showing 9295 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
14Jeremy R. Levitt, Kunle Olukotun Verifying correct pipeline implementation for microprocessors. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
14Frank Yeong-Chyang Shih, Chung Ta King, Christopher C. Pu Pipeline architectures for recursive morphological operations. Search on Bibsonomy IEEE Trans. Image Process. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
14Jang Dae Kim, Shiu-Kai Chin Formal Verification of Serial Pipeline Multipliers. Search on Bibsonomy TPHOLs The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
14A. G. Bolton, M. Dankiw, Lakhmi C. Jain Optimum parameters for a pipeline processor. Search on Bibsonomy Electronic Technology Directions The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
14Lih-Gwo Jeng, Liang-Gee Chen Rate-optimal DSP synthesis by pipeline and minimum unfolding. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
14Sofiène Tahar, Ramayya Kumar Implementational Issues for Verifying RISC-Pipeline Conflicts in HOL. Search on Bibsonomy TPHOLs The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
14Matthew Regan, Ronald Pose Priority rendering with a virtual reality address recalculation pipeline. Search on Bibsonomy SIGGRAPH The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
14Cheng-Tsung Hwang, Yu-Chin Hsu, Youn-Long Lin PLS: a scheduler for pipeline synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
14Beverly Gocal PRISM architecture: parallel and pipeline features. Search on Bibsonomy MICRO The full citation details ... 1990 DBLP  BibTeX  RDF
14David J. Mallon, Peter B. Denyer A new approach to pipeline optimisation. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
14François Bodin, François Charot, Charles Wagner Overview of a high-performance programmable pipeline structure. Search on Bibsonomy ICS The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
14William Alexander, Tom W. Keller, Ellen E. Boughter A Workload Characterization Pipeline for Models of Parallel Systems. Search on Bibsonomy SIGMETRICS The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
14Gérard G. Baille, Jean-Pierre Schoellkopf A pipeline polish string computer. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1976 DBLP  DOI  BibTeX  RDF
12Huy T. Vo, João Luiz Dihl Comba, Berk Geveci, Cláudio T. Silva Streaming-Enabled Parallel Data Flow Framework in the Visualization ToolKit. Search on Bibsonomy Comput. Sci. Eng. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF Parallel dataflow, visualization pipeline, streaming, multithreaded, VTK
12Michael Agun, Shawn Bowers Approaches for Implementing Persistent Queues within Data-Intensive Scientific Workflows. Search on Bibsonomy SERVICES The full citation details ... 2011 DBLP  DOI  BibTeX  RDF Actor-Oriented Modeling, Scientific Workflows, Dataflow, Pipeline Parallelism
12Oguzhan Erdem, Hoang Le, Viktor K. Prasanna Clustered Hierarchical Search Structure for Large-Scale Packet Classification on FPGA. Search on Bibsonomy FPL The full citation details ... 2011 DBLP  DOI  BibTeX  RDF Large-Scale Packet Classification, Hierarchical Search Structure, FPGA, Decision tree, Pipeline
12Yang-Ming Zhu, Steven M. Cochoff Medical Image Viewing on Multicore Platforms Using Parallel Computing Patterns. Search on Bibsonomy IT Prof. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF parallel programming software patterns, pipeline, multicore, data parallelism, task parallelism, task decomposition, data decomposition
12Yeim-Kuan Chang, Yung-Chieh Lin, Cheng-Chien Su Dynamic Multiway Segment Tree for IP Lookups and the Fast Pipelined Search Engine. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF elementary interval, FPGA, pipeline, B-tree, Segment tree
12Xiaoyu Yang 0001, Richard Paul Bruin, Martin T. Dove Developing an End-to-End Scientific Workflow. Search on Bibsonomy Comput. Sci. Eng. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Service-oriented workflow, Pipeline Pilot, SOA, grid computing, scientific computing, workflow management, e-science
12Jose Alexander Guevara, Eduardo César, Joan Sorribes, Andreu Moreno, Tomàs Margalef, Emilio Luque A Performance Tuning Strategy for Complex Parallel Application. Search on Bibsonomy PDP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF dynamic tuning, Master/Worker, Pipeline, composite models
12Scott Michael, Patricia Knezek, Elizabeth B. Stobie, Robert Henschel, Stephen C. Simms A Revolutionary New Paradigm for the Reduction and Analysis of Astronomical Images. Search on Bibsonomy eScience The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Image processing, Image analysis, Pipeline processing, Astronomy
12Nils Gruschka, Meiko Jensen, Luigi Lo Iacono A Design Pattern for Event-Based Processing of Security-Enriched SOAP Messages. Search on Bibsonomy ARES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF event-based processing, Event Pipeline Pattern, Design Pattern, streaming, Web Service Security
12Iman Faraji, Moslem Didehban, Hamid R. Zarandi Analysis of Transient Faults on a MIPS-Based Dual-Core Processor. Search on Bibsonomy ARES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Dual-core microprocessor, Microprocessor without Interlocked Pipeline Stages (MIPS), simulation-based fault injection, vulnerability analysis, fault propagation
12Iris Adä, Michael R. Berthold The new iris data: modular data generators. Search on Bibsonomy KDD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF artificial data, pipeline tool, data generation
12Kristy Elizabeth Boyer, E. Nathan Thomas, Audrey Smith Rorrer, Deonte Cooper, Mladen A. Vouk Increasing technical excellence, leadership and commitment of computing students through identity-based mentoring. Search on Bibsonomy SIGCSE The full citation details ... 2010 DBLP  DOI  BibTeX  RDF computing pipeline, project-based initiatives, diversity, mentoring, broadening participation
12Mark D. LeBlanc, Tom Armstrong, Michael B. Gousie Connecting across campus. Search on Bibsonomy SIGCSE The full citation details ... 2010 DBLP  DOI  BibTeX  RDF bioinformatics, pipeline, intelligent systems, arts, retention, humanities, web programming, recruitment, interdisciplinary, curricula, social sciences, multidisciplinary, women in computing, applied computer science
12Xin Jin 0003, Mikel Luján, Luis A. Plana, Alexander D. Rast, Stephen R. Welbourne, Steve B. Furber Efficient parallel implementation of multilayer backpropagation networks on SpiNNaker. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF mlp, spinnaker, parallel, mapping, pipeline, backpropagation
12Zhong-Ho Chen, Ta-Chun Chen, Jung-Yin Chien, Alvin Wen-Yu Su, Ce-Kuen Shieh Exploiting Parallelism of MPEG-4 Decoder with Dataflow Programming on Multicore Processor. Search on Bibsonomy ISPA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Modeling, Parallel Processing, component, Decoding, Pipeline Processing
12Kuei-Ping Shih, Yen-Da Chen, Shu-Sheng Liu A Collision Avoidance Multi-channel MAC Protocol with Physical Carrier Sensing for Mobile Ad Hoc Networks. Search on Bibsonomy AINA Workshops The full citation details ... 2010 DBLP  DOI  BibTeX  RDF hidden terminal problem, single transceiver, pipeline, IEEE 802.11, Multi-Channel
12Jingbang Qiu, Tianci Huang, Takeshi Ikenaga A FPGA-Based Dual-Pixel Processing Pipelined Hardware Accelerator for Feature Point Detection Part in SIFT. Search on Bibsonomy NCM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Dual-Pixel Processing, FPGA, Pipeline, SIFT, Feature Point Detection
12Jiang Jiang, Vincent Mirian, Kam Pui Tang, Paul Chow, Zuocheng Xing Matrix Multiplication Based on Scalable Macro-Pipelined FPGA Accelerator Architecture. Search on Bibsonomy ReConFig The full citation details ... 2009 DBLP  DOI  BibTeX  RDF temporal parallelism, macro-pipeline, FPGA accelerator, matrix multiplication
12Jason Luu, Keith Redmond, William Lo, Paul Chow, Lothar Lilge, Jonathan Rose FPGA-based Monte Carlo Computation of Light Absorption for Photodynamic Cancer Therapy. Search on Bibsonomy FCCM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF photodynamic therapy, PDT, Stratix, DE3, FPGA, applications, pipeline, Power, Monte Carlo, SystemC, acceleration, cancer
12Jin Ouyang, Raghuveer Raghavendra, Sibin Mohan, Tao Zhang 0032, Yuan Xie 0001, Frank Mueller 0001 CheckerCore: enhancing an FPGA soft core to capture worst-case execution times. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF LEON3, checkercore, shadow pipeline, FPGA, embedded system, real-time, WCET, worst-case-execution-time, SPARC
12Alexander Fell, Mythri Alle, Keshavan Varadarajan, Prasenjit Biswas, Saptarsi Das, Jugantor Chetia, S. K. Nandy 0001, Ranjani Narayan Streaming FFT on REDEFINE-v2: an application-architecture design space exploration. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF application synthesis, custom instruction extension, dataflow software pipeline, honeycomb, polymorphic asic, runtime reconfiguration, router, NOC
12Garo Bournoutian, Alex Orailoglu Reducing impact of cache miss stalls in embedded systems by extracting guaranteed independent instructions. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF compiler assisted hardware, pipeline stalls, embedded processors, data cache
12Yeim-Kuan Chang, Yen-Cheng Liu, Fang-Chen Kuo A Pipelined IP Forwarding Engine with Fast Update. Search on Bibsonomy AINA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF route update, FPGA, pipeline, IP lookup
12Kui Yi, YueHua Ding 32-bit RISC CPU Based on MIPS Instruction Fetch Module Design. Search on Bibsonomy JCAI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Pipeline, Data Flow, MIPS, Data Path
12Paolo Cignoni, Roberto Scopigno Sampled 3D models for CH applications: A viable and enabling new medium or just a technological exercise?. Search on Bibsonomy ACM Journal on Computing and Cultural Heritage The full citation details ... 2008 DBLP  DOI  BibTeX  RDF color data acquisition and mapping, computer-aided restoration, efficient visualization, scanning pipeline, 3D scanning
12Stavros Souravlas, Manos Roumeliotis A message passing strategy for array redistributions in a torus network. Search on Bibsonomy J. Supercomput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Superclasses, Pipeline, High performance Fortran, Communication scheduling, Array redistribution
12Nikolaos Kavvadias, Spiridon Nikolaidis 0001 Elimination of Overhead Operations in Complex Loop Structures for Embedded Microprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Optimization, Microprocessors, Hardware description languages, Real-time and embedded systems, Pipeline processors, Control design
12Tarek M. Taha, D. Scott Wills An Instruction Throughput Model of Superscalar Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Modeling techniques, Pipeline processors, Modeling of computer architecture
12Deniz Balkan, Joseph J. Sharkey, Dmitry V. Ponomarev, Kanad Ghose Predicting and Exploiting Transient Values for Reducing Register File Pressure and Energy Consumption. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF General, Microprocessors, Pipeline processors, Performance attributes
12Paul Biggar, Nicholas Nash, Kevin Williams 0001, David Gregg An experimental study of sorting and branch prediction. Search on Bibsonomy ACM J. Exp. Algorithmics The full citation details ... 2008 DBLP  DOI  BibTeX  RDF caching, Sorting, branch prediction, pipeline architectures
12Marcin Wojnarski Debellor: A Data Mining Platform with Stream Architecture. Search on Bibsonomy Trans. Rough Sets The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Pipeline, Online Algorithms, Library, Software Environment
12Chua-Chin Wang, Gang-Neng Sung, Pai-Li Liu Power-Aware Design of An 8-Bit Pipelining ANT-Based CLA Using Data Transition Detection. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF data transition detection, CLA, pipeline, power-aware, ANT
12Duc Vianney, Gadi Haber, Andre Heilper, Marcel Zalmanovici Performance analysis and visualization tools for cell/B.E. multicore environment. Search on Bibsonomy IFMT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Euler based particle simulation, code analyzer, control flow analyzer, counter analyzer, pipeline analyzer, profile analyzer, trace analyzer, virtual performance analyzer, cell broadband engine
12Abdulrahman Hanoun, Friedrich Mayer-Lindenberg, Bassel Soudan Reconfigurable Cell Architecture for Systolic and Pipelined Computing Datapaths. Search on Bibsonomy ReConFig The full citation details ... 2008 DBLP  DOI  BibTeX  RDF 2D pipeline, Baugh-Wooley, Reconfigurable, Multiplier, distributed arithmetic, Systolic
12Swapnil Bahl, Vishal Srivastava Self-Programmable Shared BIST for Testing Multiple Memories. Search on Bibsonomy ETS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Memory testing and Semiconductor memory, Built-in Self-test (BIST), Pipeline architecture
12Janice L. Pearce, Mario Nakazawa The funnel that grew our cis major in the cs desert. Search on Bibsonomy SIGCSE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF pipeline, retention, cs0, computer literacy, enrollment
12Peng Wang, Yong-en Chen Low-Complexity Real-Time LDPC Encoder Design for CMMB. Search on Bibsonomy IIH-MSP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF CMMB standard, LDPC encoding, Pipeline design, Pingpong buffer, LU decomposition
12Zulhakimi Razak, Tughrul Arslan Analog to Digital Converter Specification for UMTS/FDD Receiver Applications. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF UMTS/FDD, specification, pipeline, ADC
12Tingting Sha, Milo M. K. Martin, Amir Roth NoSQ: Store-Load Communication without a Store Queue. Search on Bibsonomy IEEE Micro The full citation details ... 2007 DBLP  DOI  BibTeX  RDF microarchitecture, RISC, pipeline processors, VLIW architectures, CISC
12Weiwu Hu, Ji-Ye Zhao, Shi-Qiang Zhong, Xu Yang, Elio Guidetti, Chris Wu Implementing a 1GHz Four-Issue Out-of-Order Execution Microprocessor in a Standard Cell ASIC Methodology. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF superscalar pipeline, non-blocking cache, synthesis flow, bit-sliced placement, crafted cell, performance evaluation, physical design, out-of-order execution, general-purpose processor
12Tao Li 0006, Lizy Kurian John, Anand Sivasubramaniam, Narayanan Vijaykrishnan, Juan Rubio 0001 OS-Aware Branch Prediction: Improving Microprocessor Control Flow Prediction for Operating Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF branch prediction, processor architectures, Pipeline processors, performance of systems, hardware/software interfaces, computer system implementation
12Jeffrey D. Weekley, Curtis L. Blais, Donald P. Brutzman Composing behaviors and swapping bodies with motion capture data in X3D. Search on Bibsonomy Web3D The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Humanoid Animation (H-Anim), XML data archive for motion capture-derived behaviors, blended behaviors and composable bodies prototypes, general pipeline description for motion capture to H-Anim data conversion, motion capture data transformation
12Jason Cong, Guoling Han, Wei Jiang Synthesis of an application-specific soft multiprocessor system. Search on Bibsonomy FPGA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF clustering, multiprocessor, pipeline, labeling, design space
12Kristy Elizabeth Boyer, Rachael S. Dwight, Carolyn S. Miller, C. Dianne Raubenheimer, Matthias F. Stallmann, Mladen A. Vouk A case for smaller class size with integrated lab for introductory computer science. Search on Bibsonomy SIGCSE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF class size, underrepresented groups, active learning, pipeline, assessment, introductory computer science
12Shmuel Fink, Gerald Kruse, Keith Olson Status report on the SIGCSE committee on models for evaluating faculty scholarship. Search on Bibsonomy SIGCSE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF faculty evaluation, tenure, pipeline, professional development, scholarship
12James Aspnes, Yinghua Wu O(logn)-Time Overlay Network Construction from Graphs with Out-Degree 1. Search on Bibsonomy OPODIS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF balanced search tree, fault tolerance, pipeline, Overlay network, self-stabilizing, randomization
12Voicu Popescu, Paul Rosen 0001 Forward rasterization. Search on Bibsonomy ACM Trans. Graph. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF point-based modeling and rendering, rendering pipeline, antialiasing, rasterization, 3D warping
12Jeni Tennison Processing XML documents with pipelines. Search on Bibsonomy ACM Symposium on Document Engineering The full citation details ... 2006 DBLP  DOI  BibTeX  RDF XML, pipeline, transformation, processing
12Weiwu Hu, Fuxin Zhang, Zusong Li Microarchitecture of the Godson-2 Processor. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF superscalar pipeline, dynamic scheduling non-blocking cache, load speculation, branch prediction, out-of-order execution, register renaming
12Alex Ramírez, Josep Lluís Larriba-Pey, Mateo Valero Software Trace Cache. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF compiler optimizations, branch prediction, Pipeline processors, trace cache, instruction fetch
12Aneesh Aggarwal, Manoj Franklin Scalability Aspects of Instruction Distribution Algorithms for Clustered Processors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Clustered processor architecture, pipeline processors, interconnection architectures, load balancing and task assignment
12Peter Petrov, Alex Orailoglu A reprogrammable customization framework for efficient branch resolution in embedded processors. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Branch resolution, pipeline organization
12Niwat Thepvilojanapong, Yoshito Tobe, Kaoru Sezaki Impact of intentional mobility in sparse sensor networks. Search on Bibsonomy SenSys The full citation details ... 2005 DBLP  DOI  BibTeX  RDF disruption tolerance, intentional mobility, pipeline formation, sensing robots, mobile sensor networks
12Christine Rochange, Pascal Sainrat A time-predictable execution mode for superscalar pipelines with instruction prescheduling. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF real-time, pipeline, WCET, processor architecture
12Stamatis Vassiliadis, Leonel Sousa, Georgi Gaydadjiev The Midlifekicker Microarchitecture Evaluation Metric. Search on Bibsonomy ASAP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF pipeline, microarchitecture, ILP
12Abdallah Merhebi, Otmane Aït Mohamed FPGA implementation of a modular and pipelined WF scheduler for high speed OC192 networks. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF OC192, PL4, POS, WF scheduler, FPGAs, ATM, pipeline
12Hui Qin, Tsutomu Sasao, Yukihiro Iguchi An FPGA design of AES encryption circuit with 128-bit keys. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF AES encryption, FPGA, pipeline
12Deng Pan, Yuanyuan Yang Pipelined two step iterative matching algorithms for CIOQ crossbar switches. Search on Bibsonomy ANCS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF scheduling, pipeline, convergence, iterative algorithms
12David J. Duke Linking Representation with Meaning. Search on Bibsonomy IEEE Visualization The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Ontology, Visualization, Database, Pipeline
12Yuu Tanaka, Toshinori Sato, Takenori Koushiro The potential in energy efficiency of a speculative chip-multiprocessor. Search on Bibsonomy SPAA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF dual pipeline, energy efficiency, speculative multithreading
12Changbo Long, Lucanus J. Simonson, Weiping Liao, Lei He 0001 Floorplanning optimization with trajectory piecewise-linear model for pipelined interconnects. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF piecewise-linear, performance, pipeline, interconnect, floorplanning
12Andrea Lodi 0002, Mario Toma, Fabio Campi A pipelined configurable gate array for embedded processors. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF FPGA, pipeline, energy, reconfigurable processor
12Mark G. Arnold A VLIW Architecture for Logarithmic Arithmetic. Search on Bibsonomy DSD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Very Long Instruction Word, sum of products, pipeline, Logarithmic Number System
12Alberto Ortiz 0001, Miquel Simó, Gabriel Oliver A vision system for an underwater cable tracker. Search on Bibsonomy Mach. Vis. Appl. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Features detection and tracking, Pipeline inspection, Image sequences, Autonomous underwater vehicles
12Raya Leviathan, Amir Pnueli Validating software pipelining optimizations. Search on Bibsonomy CASES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF optimization, verification, compilers, pipeline processors, translation validation
12Mariagiovanna Sami, Donatella Sciuto, Cristina Silvano, Vittorio Zaccaria, Roberto Zafalon Exploiting data forwarding to reduce the power budget of VLIW embedded processors. Search on Bibsonomy DATE The full citation details ... 2001 DBLP  DOI  BibTeX  RDF VLIW embedded architectures, low-power, pipeline processors, forwarding
12Dietmar Fey, Marko Degenkolb Digit Pipelined Arithmetic for 3-D Massively Parallel Optoelectronic Circuits. Search on Bibsonomy J. Supercomput. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF optoelectronic VLSI, signed-digit arithmetic, pipeline processing, optical interconnects, superscalar architectures
12Chia-Lin Yang, Barton Sano, Alvin R. Lebeck Exploiting Parallelism in Geometry Processing with General Purpose Processors and Floating-Point SIMD Instructions. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF geometry pipeline, paired-single instructions, 3D graphics, superscalar processors, SIMD instructions
12Fernando Pardo, Isaac Llorens, Franciscó Mico, Jose Antonio Boluda Space Variant Vision and Pipelined Architecture for Time to Impact Computation. Search on Bibsonomy CAMP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF time to impact computation, avoid collision, space-variant camera, differential image processing, image processing, pipeline processing, autonomous vehicle, pipelined architecture, real-time image processing
12Ashwini K. Nanda, Anthony-Trung Nguyen, Maged M. Michael, Douglas J. Joseph High-Throughput Coherence Controllers. Search on Bibsonomy HPCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Coherence Controllers, Pipeline, Microarchitecture, DSM, NUMA, Protocol Engines
12Sergej Sawitzki, Rainer G. Spallek, Jens Schönherr, Bernd Straube Formal Verification for Microprocessors with Extendable Instruction Set. Search on Bibsonomy ASAP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF reconfigurable processor architecture, abstraction techniques, formal verification, pipeline processor
12Johann Großschädl The Chinese Remainder Theorem and its Application in a High-Speed RSA Crypto Chip. Search on Bibsonomy ACSAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF RSA/spl gamma/ crypto-chip, RSA encryption scheme, hardware performance, long-integer modular arithmetic, private key operations, multiplier architecture, high-speed hardware accelerator, reconfigurable multiplier datapath, word-serial multiplier, modular reduction method, multiplier core, decryption rate, 200 MHz, 560 kbit/s, 2 Mbit/s, parallelism, pipelining, public key cryptography, reconfigurable architectures, clocks, Chinese Remainder Theorem, microprocessor chips, multiplying circuits, modular multiplications, modular exponentiations, pipeline arithmetic, clock frequency
12Bagio Budiardjo, Bobby A. A. Nazief, Djoko Hartanto Integrated Services to Differentiated Services Packet Forwarding: Guaranteed Service to Expedited Forwarding PHB. Search on Bibsonomy LCN The full citation details ... 2000 DBLP  DOI  BibTeX  RDF guaranteed class-of-service flows, expedited forwarding PHB, packet forwarding algorithm, pipelined processor configuration, jitter value, packet forwarding priority, packet throughput, simulation, quality of service, QoS, packet switching, pipeline processing, differentiated services, integrated services, guaranteed service, packet loss ratio
12Stephen L. Hary, Füsun Özgüner Precedence-Constrained Task Allocation onto Point-to-Point Networks for Pipelined Execution. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF real-time systems, Task scheduling, direct networks, message scheduling, pipeline scheduling
12Paul Chapman, Derek Wills, Graham R. Brookes, Peter Stevens Visualizing Underwater Environments Using Multifrequency Sonar. Search on Bibsonomy IEEE Computer Graphics and Applications The full citation details ... 1999 DBLP  DOI  BibTeX  RDF seabed visualization, sonar technology, harbor wall visualization, shipwreck visualization, pipeline visualization
12Adger E. Harvin III, José G. Delgado-Frias A Dictionary Machine Emulation on a VLSI Computing Tree System. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF tree architectures, VLSI, data structure, pipeline computing, bit-serial, Dictionary machines
12Kishore N. Menezes, Sumedh W. Sathaye, Thomas M. Conte Path Prediction for High Issue-Rate Processors. Search on Bibsonomy IEEE PACT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF high issue-rate processors, path prediction, issue bandwidth, multiple branches, path prediction automaton, arbitrary subgraphs, scalability single access prediction, low hardware cost, instruction-level parallelism, pipeline processing, speculative execution, cycle, performance improvement
12Mel Slater, Yiorgos Chrysanthou View volume culling using a probabilistic caching scheme. Search on Bibsonomy VRST The full citation details ... 1997 DBLP  DOI  BibTeX  RDF virtual reality walkthrough, pipeline, graphics, clipping, BSP trees, culling
12Shobana Balakrishnan, Füsun Özgüner Providing message delivery guarantees in pipelined flit-buffered multiprocessor networks. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF message delivery guarantees, pipelined flit-buffered multiprocessor networks, periodic messages, multiple virtual channels, unbounded priority inversion, global priority order, flow control mechanism, preemptive pipelined circuit switching, preemption history stack, flit level simulations, feasible messages, real-time systems, parallel processing, message passing, wormhole routing, distributed memory systems, pipeline processing, real-time applications, distributed memory multiprocessors
12S. Ramanathan, V. Visvanathan A systolic architecture for LMS adaptive filtering with minimal adaptation delay. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF LMS adaptive filtering, minimal adaptation delay, convergence behaviour, function preserving transformations, SFG representation, carry-save arithmetic, systolic folded pipelined architecture, VLSI, delays, systolic arrays, pipeline processing, adaptive filters, digital filters, digital signal processing chips, convergence of numerical methods, systolic architecture, signal flow graphs, signal flow graph, least mean squares methods, LMS algorithm
12Alain Guyot, Marc Renaudin, Bachar El-Hassan, Volker Levering Self timed division and square-root extraction. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF self-timed integrated circuit, square-root extraction, mathematical algorithm, logic level, binary notation, iterative methods, design methodology, integrated circuit design, division, dividing circuits, quotient, pipeline arithmetic, pipelined arithmetic, functional blocks
12Shriram Kulkarni, Pinaki Mazumder, George I. Haddad A high-speed 32-bit parallel correlator for spread spectrum communication. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF pseudonoise codes, radio equipment, high speed pipelined digital parallel correlator, lattice field programmable gate array, 87 MHz, 11.5 ns, field programmable gate arrays, parallel processing, data stream, correlators, CDMA, pipeline processing, CMOS integrated circuit, CMOS digital integrated circuits, transceiver, spread spectrum communication, spread spectrum communication, digital radio, 32 bit, PN sequence
12G. Enrique Fernandez, R. Sridhar Dual rail static CMOS architecture for wave pipelining. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF dual rail static CMOS architecture, gate capacitance, storage elements, DRSCMOS, multi-functional basic building blocks, combinational logic block, delays, timing, throughput, combinational circuits, power consumption, pipeline processing, CMOS logic circuits, digital systems, capacitance, wave pipelining, delay variations
12Jaswinder Pal Singh, Anshul Kumar, Shashi Kumar A multiplier generator for Xilinx FPGAs. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF multiplier generator, Xilinx FPGAs, LUT based FPGA, sequential designs, combinational designs, pipelined designs, IDEAS synthesis system, XC3000 family, XC4000 family, dedicated carry logic, XACT tool, XBLOX tool, field programmable gate arrays, high level synthesis, sequential circuits, combinational circuits, digital arithmetic, logic CAD, pipeline processing, integrated circuit design, circuit CAD, table lookup, multiplying circuits, module generator, carry logic, multiplier designs
12Li Cheng, Dingxing Wang, Meiming Shen, Weimin Zheng, Peng Shanling The Compiler for Supporting Multithreading in Cyclic Register Windows. Search on Bibsonomy ISPAN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF pipeline, Multithreading, compilation optimization, register allocation, multicomputers
12Yooichi Shintani, Kiyoshi Inoue, Eiki Kamada, Toru Shonai A Performance and Cost Analysis of Applying Superscalar Method to Mainframe Computers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1995 DBLP  DOI  BibTeX  RDF CPI, operand cache, object compatibility, performance, pipeline, RISC, superscalar, CPU, OLTP, hardware cost, CISC, mainframe computer, Arithmetic unit
12KyungHi Chang, XuDuan Lin Ultra-high-speed digital filtering algorithm for video signal processing. Search on Bibsonomy ICIP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF ultra-high-speed digital filtering algorithm, improved minimum-order augmented pipelining, IMAP algorithm, clustered look-ahead technique, augmented pipelining order, undesirable quantization effects, 1-D IMAP digital filter, 2-D structure, bandwidth reduction algorithm, motion estimation, motion estimation, pipeline processing, minimization, minimisation, video signal processing, video signal processing, interference suppression, two-dimensional digital filters, spatio-temporal filtering
Displaying result #701 - #800 of 9295 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license