The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase D-latch (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1974-1989 (15) 1990-1993 (16) 1994-1995 (21) 1996-1997 (20) 1998-1999 (32) 2000 (16) 2001 (19) 2002 (28) 2003 (34) 2004 (32) 2005 (36) 2006 (44) 2007 (40) 2008 (31) 2009 (29) 2010 (25) 2011 (26) 2012 (22) 2013 (23) 2014 (18) 2015 (24) 2016 (29) 2017 (32) 2018 (42) 2019 (34) 2020 (34) 2021 (34) 2022 (35) 2023 (52) 2024 (16)
Publication types (Num. hits)
article(312) incollection(1) inproceedings(546)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 382 occurrences of 260 keywords

Results
Found 861 publication records. Showing 859 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
11Shoji Goto, Takashi Yamada, Norihisa Takayarna, Yoshifumi Matsushita, Yasoo Harada, Hiroto Yasuura A Design for a Low-Power Digital Matched Filter Applicable to W-CDMA. Search on Bibsonomy DSD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Alper Buyuktosunoglu, David H. Albonesi, Pradip Bose, Peter W. Cook, Stanley Schuster Tradeoffs in power-efficient issue queue design. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF non-compacting, adaptation, low-power, microarchitecture, compacting, banking, issue queue
11Hong-Yi Huang, Jing-Fu Lin CMOS bulk input technique. Search on Bibsonomy ISCAS (3) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Ming-Dou Ker, Kuo-Chun Hsu On-chip ESD protection circuit design with novel substrate-triggered SCR device in sub-quarter-micron CMOS process. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11G. A. Al-Rawi A new offset measurement and cancellation technique for dynamic latches. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Bill Pontikakis, Mohamed Nekili A novel double edge-triggered pulse-clocked TSPC D flip-flop for high-performance and low-power VLSI design applications. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Hiroaki Yoshida, Hiroaki Yamaoka, Makoto Ikeda, Kunihiro Asada Logic synthesis for PLA with 2-input logic elements. Search on Bibsonomy ISCAS (3) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Hiroaki Yoshida, Hiroaki Yamaoka, Makoto Ikeda, Kunihiro Asada Logic Synthesis for AND-XOR-OR Type Sense-Amplifying PLA. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF logic synthesis, PLA
11Hai Zhou 0001 Clock schedule verification with crosstalk. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF verification, delay, coupling, clock schedule
11Martin Foltin, Brian Foutz, Sean Tyler Efficient stimulus independent timing abstraction model based on a new concept of circuit block transparency. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF timing analysis, VLSI design, timing model, circuit optimization
11Cho W. Moon, Harish Kriplani, Krishna P. Belkhale Timing model extraction of hierarchical blocks by graph reduction. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Pranav Ashar, Aarti Gupta, Sharad Malik Using complete-1-distinguishability for FSM equivalence checking. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Bisimulation relation, complete-1-distinguishability, finite state machine equivalence, sequential logic synthesis, equivalence checking
11Makoto Nagata, Takafumi Ohmoto, Jin Nagai, Takashi Morie, Atsushi Iwata Test circuits for substrate noise evaluation in CMOS digital ICs. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Frank Wolz, Reiner Kolla Bubble Partitioning for LUT-Based Sequential Circuits. Search on Bibsonomy FPL The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Qing K. Zhu, Michael Zhang Low-voltage swing clock distribution schemes. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Samgsuk Kim, Minkyu Song An 8-bit 200 MSPS CMOS A/D converter for analog interface module of TFT-LCD driver. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Seung-Moon Yoo, Chulwoo Kim, Seong-Ook Jung, Kwang-Hyun Baek, Sung-Mo Kang New current-mode sense amplifiers for high density DRAM and PIM architectures. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Christian Jesús B. Fayomi, Gordon W. Roberts, Mohamad Sawan A 1-V, 10-bit rail-to-rail successive approximation analog-to-digital converter in standard 0.18 um CMOS technology. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Sepp Hochreiter, Michael Mozer A Discrete Probabilistic Memory Model for Discovering Dependencies in Time. Search on Bibsonomy ICANN The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Makoto Nagata, Jin Nagai, Takashi Morie, Atsushi Iwata Measurements and analyses of substrate noise waveform inmixed-signal IC environment. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11Hussain Al-Asaad, John P. Hayes Logic Design Validation via Simulation and Automatic Test Pattern Generation. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF test generation, logic design, fault simulation, error modeling, design validation
11Jacob Savir Distributed BIST Architecture to Combat Delay Faults. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF BIST, LFSR, delay test, MISR, LSSD, SRL
11Montek Singh, Steven M. Nowick High-Throughput Asynchronous Pipelines for Fine-Grain Dynamic Datapaths. Search on Bibsonomy ASYNC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF fine-grain pipelining, VLSI, pipelines, asynchronous, dynamic logic, FIFO, high-throughput, digital design
11David M. Brooks, Margaret Martonosi, John-David Wellman, Pradip Bose Power-Performance Modeling and Tradeoff Analysis for a High End Microprocessor. Search on Bibsonomy PACS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11Jae-Tack Yoo, Ganesh Gopalakrishnan, Kent F. Smith Timing constraints for high-speed counterflow-clocked pipelining. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11Naresh Maheshwari, Sachin S. Sapatnekar Optimizing large multiphase level-clocked circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11Jason Baumgartner, Tamir Heyman, Vigyan Singhal, Adnan Aziz Model Checking the IBM Gigahertz Processor: An Abstraction Algorithm for High-Performance Netlists. Search on Bibsonomy CAV The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11Kenneth Y. Yun, Ayoob E. Dooply Optimal Evaluation Clocking of Self-Resetting Domino Pipelines. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11Toshio Murayama, Kimihiro Ogawa, Haruhiko Yamaguchi Estimation of Peak Current through CMOS VLSI Circuit Supply Lines. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11J. V. Tran, Farnaz Mounes-Toussi, S. N. Storino, D. L. Stasiak SOI Implementation of a 64-Bit Adder. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11Jacob Savir Design for Testability to Combat Delay Faults. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF BIST, LFSR, Delay Test, MISR, LSSD, SRL
11Costantino Pala, Gerd Schuppener, Mehran Mokhtari A 6 GHz, 1.8 V, divide-by-2 circuit implemented in silicon bipolar technology. Search on Bibsonomy ISCAS (2) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11Ayoob E. Dooply, Kenneth Y. Yun Optimal Clocking and Enhanced Testability for High-Performance Self-Resetting Domino Pipelines. Search on Bibsonomy ARVLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Self-resetting domino, time borrowing, roadblock, skew tolerance design-for-testability, scan register, multiple stuck fault
11Tetsuya Uemura, Pinaki Mazumder Design and Analysis of a Novel Quantum-MOS Sense Amplifier Circuit. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11Alex Kondratyev, Michael Kishinevsky, Alexandre Yakovlev Hazard-free implementation of speed-independent circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
11Kåre Tais Christensen, Peter Jensen, Peter Korger, Jens Sparsø The Design of an Asynchronous TinyRISCTM TR4101 Microprocessor Core. Search on Bibsonomy ASYNC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Asynchronous circuits and systems, low-power, microprocessor design
11Weiyu Chen, Sandeep K. Gupta 0001, Melvin A. Breuer Test generation in VLSI circuits for crosstalk noise. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
11S. K. Misra, R. K. Kolagotla, Hosahalli R. Srinivas, J. C. Mo, Marc S. Diamondstein VLSI Implementation of a 300-MHz 0.35 um CMOS 32-bit Auto-Reloadable Binary Synchronous Counter with Optimal Test Overhead Delay. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Fast counter, VLSI, Testability
11Gary Ellis, Lawrence T. Pileggi, Rob A. Rutenbar A hierarchical decomposition methodology for multistage clock circuits. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF performance driven router, routing, process variations, manufacturability, clock
11Michael Kishinevsky, Alex Kondratyev, Luciano Lavagno, Alexander Saldanha, Alexander Taubin Partial scan delay fault testing of asynchronous circuits. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF robust path delay fault testing, asynchronous circuits, delay faults, sequential testing
11Naresh Maheshwari, Sachin S. Sapatnekar Minimum area retiming with equivalent initial states. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF VLSI, Sequential Circuits, Retiming, Design Automation, Timing Optimization, Area Optimization
11Pranav Ashar, Aarti Gupta, Sharad Malik Using complete-1-distinguishability for FSM equivalence checking. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF sequential logic synthesis and verification, finite state machine equivalence, bisimulation relation, 1-distinguishability, 1-equivalence, formal verification
11Andrea Boni, Carlo Morandi Low-Power, Low-Voltage BiCMOS Comparators for Approximately 200MHz, 8bit Operation. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
11Timothy M. Burks, Karem A. Sakallah, Trevor N. Mudge Critical paths in circuits with level-sensitive latches. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
11K. Wayne Current Memory Circuits for Multiple-Valued Logic Voltage Signals. Search on Bibsonomy ISMVL The full citation details ... 1995 DBLP  DOI  BibTeX  RDF memory circuits, multiple valued logic voltage signals, voltage-mode CMOS multiple valued logic memory circuits, polysilicon-gate CMOS technology, SETUP clock mode, HOLD clock mode, multivalued logic circuits, integrated memory circuits, CMOS memory circuits
11Erik Brunvand Low latency self-timed flow-through FIFOs. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF self-timed flow-through FIFO, linear flow-through FIFO, parallel FIFO, tree FIFO, square FIFO, folded FIFO, low latency type, field programmable gate arrays, VLSI, asynchronous circuits, CMOS logic circuits
11Jae-Tack Yoo, Ganesh Gopalakrishnan, Kent F. Smith, V. John Mathews High speed counterflow-clocked pipelining illustrated on the design of HDTV subband vector quantizer chips. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF counterflow-clocked pipelining, HDTV subband vector quantizer chips, clock skew problems, back-propagating clock signals, high speed clocks, dynamic latches, composition rules, two-dimensional data-flow, image compression chip set, subband VQ, VLSI, timing, image coding, pipeline processing, digital signal processing chips, vector quantisation, telecommunication computing, clock distribution, VLSI chips, high definition television
11Ted E. Williams Performance of iterative computation in self-timed rings. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
11Karem A. Sakallah, Trevor N. Mudge, Timothy M. Burks, Edward S. Davidson Synchronization of pipelines. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
11Gordon J. Brebner Configurable array logic circuits for computing network error detection codes. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
11Steve Vinoski RISE++: A Symbolic Environment for Scan-Based Testing. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
11Srinivas Devadas Optimizing interacting finite state machines using sequential don't cares. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
11Robert Tjärnström Automatic generation of timing specifications for CMOS transistor subnetworks. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
11Michael Magee, William A. Hoff, Lance Gatrell, Martin Marietta, William J. Wolfe Integrated Planning of Robotic and Computer Bision Based Spatial Reasoning Tasks. Search on Bibsonomy IEA/AIE (Vol. 1) The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
11Miriam Leeser Reasoning about the function and timing of integrated circuits with interval temporal logic. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
11R. J. McDonald, Jerry G. Fossum High-voltage device modeling for SPICE simulation of HVIC's. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
11C. Thomas Glover, M. Ray Mercer A Method of Delay Fault Test Generation. Search on Bibsonomy DAC The full citation details ... 1988 DBLP  BibTeX  RDF
11Peter Odryna, Kevin Nazareth, Carl Christensen A workstation-mixed model circuit simulator. Search on Bibsonomy DAC The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
11Matthew S. Hecht, Jeffrey D. Ullman Characterizations of Reducible Flow Graphs. Search on Bibsonomy J. ACM The full citation details ... 1974 DBLP  DOI  BibTeX  RDF
Displaying result #801 - #859 of 859 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license