The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Cache with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1968-1978 (15) 1979-1981 (20) 1982-1983 (21) 1984-1985 (28) 1986 (23) 1987 (35) 1988 (61) 1989 (73) 1990 (96) 1991 (85) 1992 (81) 1993 (130) 1994 (154) 1995 (188) 1996 (193) 1997 (259) 1998 (253) 1999 (352) 2000 (385) 2001 (377) 2002 (510) 2003 (560) 2004 (726) 2005 (744) 2006 (745) 2007 (796) 2008 (715) 2009 (674) 2010 (441) 2011 (398) 2012 (414) 2013 (427) 2014 (471) 2015 (502) 2016 (590) 2017 (657) 2018 (688) 2019 (648) 2020 (562) 2021 (514) 2022 (475) 2023 (474) 2024 (106)
Publication types (Num. hits)
article(4878) book(11) data(2) incollection(53) inproceedings(10541) phdthesis(180) proceedings(1)
Venues (Conferences, Journals, ...)
CoRR(720) ISCA(311) IEEE Trans. Computers(298) MICRO(222) HPCA(220) ICCD(208) DATE(202) IPDPS(182) IEEE Trans. Parallel Distribut...(169) ICS(130) IEEE Access(130) DAC(125) PaCT(123) ICPP(122) IEEE Trans. Very Large Scale I...(118) ASPLOS(113) More (+10 of total 2245)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 9462 occurrences of 2787 keywords

Results
Found 15666 publication records. Showing 15666 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
27Umut A. Acar, Guy E. Blelloch, Robert D. Blumofe The data locality of work stealing. Search on Bibsonomy SPAA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
27Jean-Marc Menaud, Valérie Issarny, Michel Banâtre Improving the Effectiveness of Web Caching. Search on Bibsonomy Advances in Distributed Systems The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
27Charles E. Leiserson Design and Analysis of Algorithms for Shared-Memory Multiprocessors (Abstract). Search on Bibsonomy WADS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
27Kun-Lung Wu, Philip S. Yu Load Balancing and Hot Spot Relief for Hash Routing among a Collection of Proxy Caches. Search on Bibsonomy ICDCS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF adaptable controlled replication, hot spot relief, CARP, hash routing, load balancing
27Shlomit S. Pinter, Adi Yoaz Tango: A Hardware-Based Data Prefetching Technique for Superscalar Processors. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF LRU mechanism, SPEC92 benchmark, Tango, base line architecture, hardware-based data prefetching technique, memory reference instructions, program progress graph, performance, parallel processing, instruction level parallelism, simulation results, superscalar processors, branch target buffer, instruction prefetching, hardware resources, slack time
27Jim Pierce, Trevor N. Mudge Wrong-path Instruction Prefetching. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
27Yiming Hu, Qing Yang 0001 DCD - Disk Caching Disk: A New Approach for Boosting I/O Performance. Search on Bibsonomy ISCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
27Richard E. Kessler, Mark D. Hill Page Placement Algorithms for Large Real-Indexed Caches. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
27Daniel Grund, Jan Reineke 0001 Abstract Interpretation of FIFO Replacement. Search on Bibsonomy SAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF FIFO Replacement, Domain Cooperation, May/Must Reasoning, Cache Analysis
27Jie Tao 0001, Dominic Hillenbrand, Holger Marten Instruction Hints for Super Efficient Data Caches. Search on Bibsonomy ICCS (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF simulation, architecture design, Cache optimization
27Yoonjin Kim, Rabi N. Mahapatra Dynamic context management for low power coarse-grained reconfigurable architecture. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF context word, embedded systems, system-on-chip (soc), digital signal processing, coarse-grained reconfigurable architecture, configuration cache
27Yuejian Xie, Gabriel H. Loh PIPP: promotion/insertion pseudo-partitioning of multi-core shared caches. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF cache, multi-core, sharing, contention, insertion, promotion
27Kostas Lillis, Evaggelia Pitoura Cooperative XPath caching. Search on Bibsonomy SIGMOD Conference The full citation details ... 2008 DBLP  DOI  BibTeX  RDF cache, peer-to-peer systems, xml
27Onur Aciiçmez, Shay Gueron, Jean-Pierre Seifert New Branch Prediction Vulnerabilities in OpenSSL and Necessary Software Countermeasures. Search on Bibsonomy IMACC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF branch prediction attacks, cache eviction attacks, Binary Extended Euclidean Algorithm, software mitigation methods, OpenSSL, RSA, Side channel attacks, CRT, modular inversion
27Kuang-Hui Chi, Ji-Han Jiang, Li-Hsing Yen Cost-Effective Caching for Mobility Support in IEEE 802.1X Frameworks. Search on Bibsonomy IEEE Trans. Mob. Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF independent reference model, Robust Security Network, cache, Wireless Local Area Network, fast handoff
27Jason E. Miller, Anant Agarwal Software-based instruction caching for embedded processors. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF instruction cache, chaining, software caching
27Erik Berg, Erik Hagersten Fast data-locality profiling of native execution. Search on Bibsonomy SIGMETRICS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF cache behavior, profiling tool
27Ali-Reza Adl-Tabatabai, Richard L. Hudson, Mauricio J. Serrano, Sreenivas Subramoney Prefetch inection based on hardware monitoring and object metadata. Search on Bibsonomy PLDI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF virtual machines, garbage collection, prefetching, compiler optimization, cache misses, profile-guided optimization
27Kun Zhang 0006, Tao Zhang 0037, Santosh Pande Binary translation to improve energy efficiency through post-pass register re-allocation. Search on Bibsonomy EMSOFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF cache power consumption, dead registers, register re-allocation, unused registers
27Xianfeng Li, Hemendra Singh Negi, Tulika Mitra, Abhik Roychoudhury Design space exploration of caches using compressed traces. Search on Bibsonomy ICS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF compressed trace, single pass simulation, cache, design space exploration
27Tiecheng Gu, Baoliu Ye, Minyi Guo, Daoxu Chen Implementing Cooperative Caching in Distributed Streaming Media Server Clusters. Search on Bibsonomy EUC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Distributed streaming server cluster, Cache hit rate, Multimedia, Cooperative caching
27Roberto Beraldi, Roberto Baldoni A Caching Scheme for Routing in Mobile Ad Hoc Networks and Its Application to ZRP. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF simulation, MANET, cache, routing protocol, ZRP
27Patcharee Basu, Kanchana Kanchanasut A Multicast Push Caching System over a UDLR Satellite Link. Search on Bibsonomy SAINT Workshops The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Unidirectional link routing protocol, Reliable multicast, Cooperative cache, Push technology
27David Wonnacott Using Time Skewing to Eliminate Idle Time due to Memory Bandwidth and Network Limitations. Search on Bibsonomy IPDPS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF compute balance, machine balance, scalable locality, scalable parallelism, cache optimization, loop tiling
27Julio Sahuquillo, Ana Pont Designing Competitive Coherence Protocols Taking Advantage of Reuse Information. Search on Bibsonomy EUROMICRO The full citation details ... 2000 DBLP  DOI  BibTeX  RDF split data cache, reuse information, competitive protocol, performance evaluation, multiprocessor systems, data locality
27Donglai Dai, Dhabaleswar K. Panda 0001 Exploiting the Benefits of Multiple-Path Network DSM Systems: Architectural Alternatives and Performance Evaluation. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF directory-based protocols and cache coherence, interconnection networks, Parallel architecture, performance modeling, network interface, distributed shared-memory systems
27Alexander Thomasian, Jai Menon 0001 RAID5 Performance with Distributed Sparing. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF RAID5 disk arrays, dedicated sparing, distributed sparing, operation in degraded mode, rebuild processing, striping unit, small-write syndrome, nonvolatile storage, fast writes, disk zoning, fork-join synchronization, vacationing server model, disk response time, rebuild time, nonpreemptive and preemptive priority queuing, fault-tolerance, performance analysis, queuing theory, M/G/1 queues, disk cache, disk failures
27Stephen J. Walsh, John A. Board Pollution control caching. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF pollution control caching, high speed processors, bandwidth mismatch, standard DRAMS, on-chip caches, miss ratio statistics, expected clock cycles per instruction, main memory latencies, PCC+VB, discrete event simulation, memory architecture, trace driven simulation, cache storage, memory performance, ANOVA, DRAM chips
27Yoji Yamada, John C. Gyllenhaal, Grant E. Haab, Wen-mei W. Hwu Data relocation and prefetching for programs with large data sets. Search on Bibsonomy MICRO The full citation details ... 1994 DBLP  DOI  BibTeX  RDF data copying, data relocation, program optimization, software prefetching, cache conflicts
27Olivier Temam, Yvon Jégou Using virtual lines to enhance locality exploitation. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1994 DBLP  DOI  BibTeX  RDF memory hierarchy, temporal locality, spatial locality, cache architecture, numerical codes
27Stephen Roderick Hines, Yuval Peress, Peter Gavin, David B. Whalley, Gary S. Tyson Guaranteeing instruction fetch behavior with a lookahead instruction fetch engine (LIFE). Search on Bibsonomy LCTES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF l0/filter cache, lookahead instruction fetch engine (life), tagless hit instruction cache (th-ic)
27Jiang Lin, Hongzhong Zheng, Zhichun Zhu, Zhao Zhang 0010, Howard David DRAM-Level Prefetching for Fully-Buffered DIMM: Design, Performance and Power Saving. Search on Bibsonomy ISPASS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF channel bandwidth utilization, DRAM-level prefetching, dynamic random access memory, fully-buffered DIMM, dual in-line memory module, redundant bandwidth, memory block, L2 cache block, DRAM power consumption, SPEC2000 program, software cache prefetching, idle memory latency, power saving, multicore processor, memory controller, interconnect structure, DRAM chip
27Ahmad Zmily, Christos Kozyrakis A low power front-end for embedded processors using a block-aware instruction set. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF instruction re-ordering, low power front-end, software hints, tagless instruction cache, unified instruction cache and BTB, instruction prefetching
27Hong-Koo Kang, Joung-Joon Kim, Dong-Oh Kim, Ki-Joon Han An Extended R-Tree Indexing Method Using Selective Prefetching in Main Memory. Search on Bibsonomy International Conference on Computational Science (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF SPR-Tree, Extended R-Tree, Cache Performance, Cache Miss, Main Memory
27Ken W. Batcher, Robert A. Walker 0001 Cluster miss prediction with prefetch on miss for embedded CPU instruction caches. Search on Bibsonomy CASES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF hiding memory latency, embedded systems, networking, WCET, cache design, cache prefetch
27Beng-Hong Lim, Philip Heidelberger, Pratap Pattnaik, Marc Snir Message Proxies for Efficient, Protected Communication on SMP Clusters. Search on Bibsonomy HPCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF message proxies, protected communication, custom hardware, IBM Model G30 SMPs, cache-miss latency, cache-update mechanism, performance model, multiprocessing systems, symmetric multiprocessor clusters
27Rong-Yuh Hwang An Efficient Technique of Instruction Scheduling on a Superscalar-Based Mulprocessor. Search on Bibsonomy IPPS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF FLASH multiprocessor, block data transfer, multiple communication protocols, embedded protocol processor, protocol, shared memory, prefetching, cache storage, FLASH, cache coherence protocol, multiprocessor architecture, MAGIC
27John Heinlein, Kourosh Gharachorloo, Robert P. Bosch Jr., Mendel Rosenblum, Anoop Gupta Coherent Block Data Transfer in the FLASH Multiprocessor. Search on Bibsonomy IPPS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF FLASH multiprocessor, block data transfer, multiple communication protocols, embedded protocol processor, protocol, shared memory, prefetching, cache storage, FLASH, cache coherence protocol, multiprocessor architecture, MAGIC
27Sung-Kwan Kim, Sang Lyul Min, Rhan Ha Efficient worst case timing analysis of data caching. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF efficient worst case timing analysis, accurate timing analysis, pipelined execution, multiple memory locations, pointer based references, dynamic load/store instructions, WCET overestimation, global data flow analysis, benchmark programs, real-time systems, computational complexity, data caching, cache storage, instruction sets, reduced instruction set computing, data dependence analysis, cache block
27Robert Yung, Neil C. Wilhelm Caching processor general registers. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF caching processor general registers, processor cycle time requirements, small register cache, register caching, windowed-register architectures, parallel architectures, performance model, memory architecture, cache storage, register file
27Chris Wilkerson, Alaa R. Alameldeen, Zeshan Chishti, Wei Wu 0024, Dinesh Somasekhar, Shih-Lien Lu Reducing cache power with low-cost, multi-bit error-correcting codes. Search on Bibsonomy ISCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF ecc, edram, idle power, idle states, multi-bit ecc, refresh power, vccmin, dram
27Cheng-Kok Koh, Weng-Fai Wong, Yiran Chen 0001, Hai Li 0001 Tolerating process variations in large, set-associative caches: The buddy cache. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF caches, Processor architectures, fault recovery, memory structures
27In Hwan Doh, Hyo J. Lee, Young Je Moon, Eunsam Kim, Jongmoo Choi, Donghee Lee 0001, Sam H. Noh Impact of NVRAM write cache for file system metadata on I/O performance in embedded systems. Search on Bibsonomy SAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Non-Volatile RAM (NVRAM), metadata, file system, flash memory, flash translation layer (FTL)
27Mahmut T. Kandemir, Sai Prashanth Muralidhara, Sri Hari Krishna Narayanan, Yuanrui Zhang, Ozcan Ozturk 0001 Optimizing shared cache behavior of chip multiprocessors. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Jaideep Moses, Konstantinos Aisopos, Aamer Jaleel, Ravi R. Iyer 0001, Ramesh Illikkal, Donald Newell, Srihari Makineni CMPSched$im: Evaluating OS/CMP interaction on shared cache management. Search on Bibsonomy ISPASS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Arun Kejariwal, Alexandru Nicolau, Utpal Banerjee, Alexander V. Veidenbaum, Constantine D. Polychronopoulos Cache-aware partitioning of multi-dimensional iteration spaces. Search on Bibsonomy SYSTOR The full citation details ... 2009 DBLP  DOI  BibTeX  RDF partitioning, parallel loops, iteration space
27Gregor Leander, Erik Zenner, Philip Hawkes Cache Timing Analysis of LFSR-Based Stream Ciphers. Search on Bibsonomy IMACC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Weixun Wang, Prabhat Mishra 0001, Ann Gordon-Ross SACR: Scheduling-Aware Cache Reconfiguration for Real-Time Embedded Systems. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Yuh-Fang Tsai, Feng Wang 0004, Yuan Xie 0001, Narayanan Vijaykrishnan, Mary Jane Irwin Design Space Exploration for 3-D Cache. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Yifeng Zhu, Hong Jiang 0001 RACE: A Robust Adaptive Caching Strategy for Buffer Cache. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Buffering, Main memory, Input/output
27Noel Eisley, Li-Shiuan Peh, Li Shang Leveraging on-chip networks for data cache migration in chip multiprocessors. Search on Bibsonomy PACT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF network-driven computing, interconnection network, CMP, chip-multiprocessor, migration
27Marios Kleanthous, Yiannakis Sazeides CATCH: A Mechanism for Dynamically Detecting Cache-Content-Duplication and its Application to Instruction Caches. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Nidhi Aggarwal, James E. Smith 0001, Kewal K. Saluja, Norman P. Jouppi, Parthasarathy Ranganathan Implementing high availability memory with a duplication cache. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Wanxia Qu, Yang Guo 0003, Zhengbin Pang, Xiaodong Yang Efficient Verification of Parameterized Cache Coherence Protocols. Search on Bibsonomy ICYCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Hongbo Zeng, Jun Wang, Ge Zhang 0007, Weiwu Hu An interconnect-aware power efficient cache coherence protocol for CMPs. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Hyotaek Shim, Jaegeuk Kim, Dawoon Jung 0001, Jin-Soo Kim 0001, Seungryoul Maeng RMA: A Read Miss-Based Spin-Down Algorithm using an NV cache. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Jason Zebchuk, Srihari Makineni, Donald Newell Re-examining cache replacement policies. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Xiaoming Gu, Tongxin Bai, Yaoqing Gao, Chengliang Zhang, Roch Archambault, Chen Ding 0001 P-OPT: Program-Directed Optimal Cache Management. Search on Bibsonomy LCPC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Padma Apparao, Ravi R. Iyer 0001, Donald Newell Implications of cache asymmetry on server consolidation performance. Search on Bibsonomy IISWC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Hongbin Sun 0001, Nanning Zheng 0001, Tong Zhang 0002 Realization of L2 Cache Defect Tolerance Using Multi-bit ECC. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27T. S. Rajesh Kumar, C. P. Ravikumar, R. Govindarajan Memory Architecture Exploration Framework for Cache Based Embedded SOC. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Subhradyuti Sarkar, Dean M. Tullsen Compiler Techniques for Reducing Data Cache Miss Rate on a Multithreaded Architecture. Search on Bibsonomy HiPEAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Anca Mariana Molnos, Sorin Dan Cotofana, Marc J. M. Heijligers, Jos T. J. van Eijndhoven Static Cache Partitioning Robustness Analysis for Embedded On-Chip Multi-processors. Search on Bibsonomy Trans. High Perform. Embed. Archit. Compil. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Miquel Moretó, Francisco J. Cazorla, Alex Ramírez, Mateo Valero Online Prediction of Applications Cache Utility. Search on Bibsonomy ICSAMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Shahram Ghandeharizadeh, Shahin Shayandeh Greedy Cache Management Techniques for Mobile Devices. Search on Bibsonomy ICDE Workshops The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Jizhong Zhao, Min Xi, Yong Qi Cache Predicting Algorithm Based on Context-Aware in Pervasive Computing. Search on Bibsonomy ACIS-ICIS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Stephen Hines, David B. Whalley, Gary S. Tyson Guaranteeing Hits to Improve the Efficiency of a Small Instruction Cache. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Jie Tao 0001, Tobias Gaugler, Wolfgang Karl A Profiling Tool for Detecting Cache-Critical Data Structures. Search on Bibsonomy Euro-Par The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Akira Yamawaki 0002, Masahiko Iwane An FPGA implementation of a snoop cache with synchronization for a multiprocessor system-on-chip. Search on Bibsonomy ICPADS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Weng-Fai Wong, Cheng-Kok Koh, Yiran Chen 0001, Hai Li 0001 VOSCH: Voltage scaled cache hierarchies. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Abel G. Silva-Filho, Carmelo J. A. Bastos Filho, Ricardo Massa Ferreira Lima, Davi M. A. Falcão, Filipe R. Cordeiro, Marília P. Lima An Intelligent Mechanism to Explore a Two-Level Cache Hierarchy Considering Energy Consumption and Time Performance. Search on Bibsonomy SBAC-PAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Weiping He, Ing-Ray Chen, Baoshan Gu A Proxy-Based Integrated Cache Consistency and Mobility Management Scheme for Mobile IP Systems. Search on Bibsonomy AINA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Raimund Kirner, Martin Schoeberl Modeling the Function Cache for Worst-Case Execution Time Analysis. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Zhijun Wang 0001, Mohan Kumar, Sajal K. Das 0001, Huaping Shen Dynamic cache consistency schemes for wireless cellular networks. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Shuai Wang 0006, Jie S. Hu, Sotirios G. Ziavras On the Characterization of Data Cache Vulnerability in High-Performance Embedded Microprocessors. Search on Bibsonomy ICSAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Jan Staschulat, Rolf Ernst Worst case timing analysis of input dependent data cache behavior. Search on Bibsonomy ECRTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Kugan Vivekanandarajah, Thambipillai Srikanthan, Christopher T. Clarke Profile Directed Instruction Cache Tuning for Embedded Systems. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Alokika Dash, Peter Petrov Energy-Efficient Cache Coherence for Embedded Multi-Processor Systems through Application-Driven Snoop Filtering. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Ranjith Subramanian, Yannis Smaragdakis, Gabriel H. Loh Adaptive Caches: Effective Shaping of Cache Behavior to Workloads. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Shiwen Hu, Lizy Kurian John Avoiding store misses to fully modified cache blocks. Search on Bibsonomy IPCCC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Jie Tao 0001, Wolfgang Karl Supporting Cache Locality Optimization with a Toolset. Search on Bibsonomy Euro-Par The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Ken C. K. Lee, Wang-Chien Lee, Julian Winter, Baihua Zheng, Jianliang Xu CS cache engine: data access accelerator for location-based service in mobile environments. Search on Bibsonomy SIGMOD Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Yunhe Shi, Emre Özer 0001, David Gregg Low-Cost Microarchitectural Techniques for Enhancing the Prediction of Return Addresses on High-Performance Trace Cache Processors. Search on Bibsonomy ISCIS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Domingo Benitez, Juan C. Moure, Dolores Rexachs, Emilio Luque A Reconfigurable Data Cache for Adaptive Processors. Search on Bibsonomy ARC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Abel Guilhermino Silva-Filho, Pablo Viana, Edna Barros, Manoel Eusébio de Lima Tuning Mechanism for Two-Level Cache Hierarchy Intended for Instruction Caches and Low Energy Consumption. Search on Bibsonomy SBAC-PAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Jianpei Zhang, Yan Chu 0001, Jing Yang 0010 A Category on the Cache Invalidation for Wireless Mobile Environments. Search on Bibsonomy APWeb Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Andreas Moshovos, Babak Falsafi, Farid N. Najm, Navid Azizi A Case for Asymmetric-Cell Cache Memories. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Enric Gibert, F. Jesús Sánchez, Antonio González 0001 Distributed Data Cache Designs for Clustered VLIW Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF design styles, Single data stream architectures
27Vijay Shivshanker Gupta Trust and accountability issues in scalable invalidation-based web cache consistency. Search on Bibsonomy ACM SIGOPS Oper. Syst. Rev. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Ekow J. Otoo, Doron Rotem, Arie Shoshani Impact of Admission and Cache Replacement Policies on Response Times of Jobs on Data Grids. Search on Bibsonomy Clust. Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF caching, data grid, job scheduling, storage resource manager
27Sung-Eui Yoon, Peter Lindstrom 0001, Valerio Pascucci, Dinesh Manocha Cache-oblivious mesh layouts. Search on Bibsonomy ACM Trans. Graph. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Bingsheng He, Qiong Luo 0001, Byron Choi Cache-Conscious Automata for XML Filtering. Search on Bibsonomy ICDE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Daeil Park, Motomichi Toyama XML Cache Management Based On XPath Containment Relationship. Search on Bibsonomy ICDE Workshops The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Pedro Trancoso Dynamic Split: Flexible Border Between Instruction and Data Cache. Search on Bibsonomy DSD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Yulin Wang, Guangjun Li, Shuisheng Lin, Xiaojun Wu A write-prior partitioning LRU algorithm for the multi-port cache in disk arrays. Search on Bibsonomy CIT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Arash Farzan, Paolo Ferragina, Gianni Franceschini, J. Ian Munro Cache-Oblivious Comparison-Based Algorithms on Multisets. Search on Bibsonomy ESA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Pradeep Nalabalapu, Ron Sass Bandwidth Management with a Reconfigurable Data Cache. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Jianyu Cai, Yan Jia 0001, Shuqiang Yang, Peng Zou A Method of Aggregate Query Matching in Semantic Cache for Massive Database Applications. Search on Bibsonomy APPT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Hamid R. Zarandi, Seyed Ghassem Miremadi Soft Error Mitigation in Cache Memories of Embedded Systems by Means of a Protected Scheme. Search on Bibsonomy LADC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Weifeng Zhang 0001, Baowen Xu, Guoqiang Zhou User's Rough Set Based Fuzzy Interest Model in Mining WWW Cache. Search on Bibsonomy ISPA Workshops The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Internet, WWW, Rough Set, Fuzzy Set, Interest Model
Displaying result #901 - #1000 of 15666 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license