The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Cores with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1955-1981 (15) 1982-1989 (15) 1990-1995 (24) 1996-1997 (36) 1998 (42) 1999 (55) 2000 (84) 2001 (94) 2002 (133) 2003 (176) 2004 (207) 2005 (335) 2006 (299) 2007 (398) 2008 (525) 2009 (429) 2010 (184) 2011 (101) 2012 (96) 2013 (193) 2014 (111) 2015 (205) 2016 (104) 2017 (150) 2018 (133) 2019 (159) 2020 (95) 2021 (150) 2022 (116) 2023 (138) 2024 (26)
Publication types (Num. hits)
article(1183) incollection(12) inproceedings(3579) phdthesis(45) proceedings(9)
Venues (Conferences, Journals, ...)
CORES(364) DATE(156) CoRR(139) IPDPS(99) DAC(90) FPL(80) SC(70) ITC(60) IEEE Trans. Comput. Aided Des....(55) ISCA(55) IEEE Trans. Very Large Scale I...(52) Asian Test Symposium(51) MICRO(51) VTS(49) ASP-DAC(46) ICS(42) More (+10 of total 1047)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2996 occurrences of 1338 keywords

Results
Found 4838 publication records. Showing 4828 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
18Kenroy A. Howard, Marian K. Kazimierczuk Eddy-current power loss in laminated iron cores. Search on Bibsonomy ISCAS (3) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Claude Arm, Jean-Marc Masgonty, Christian Piguet Double-Latch Clocking Scheme for Low-Power I.P. Cores. Search on Bibsonomy PATMOS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Mariagiovanna Sami, Donatella Sciuto, Cristina Silvano, Vittorio Zaccaria Instruction-level power estimation for embedded VLIW cores. Search on Bibsonomy CODES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Alfredo Benso, Silvia Chiusano, Giorgio Di Natale, Paolo Prinetto, Monica Lobetti Bodoni A Family of Self-Repair SRAM Cores. Search on Bibsonomy IOLTW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Memory Self-Repair, Memory BIST, BISR
18Bhargab B. Bhattacharya, Alexej Dmitriev, Michael Gössel Zero-Aliasing Space Compression using a Single Periodic Output and its Application to Testing of Embedded Cores. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Dimitrios Kagaris, Spyros Tragoudas Embedded cores using built-in mechanisms. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18P. K. Jaini, Nur A. Touba Observing test response of embedded cores through surrounding logic. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Michael Gössel, Andrej A. Morosov, Egor S. Sogomonyan A New Totally Error Propagating Compactor for Arbitrary Cores with Digital Interfaces. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18HyungWon Kim 0001, John P. Hayes Delay Fault Testing of Designs with Embedded IP Cores. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Lode Nachtergaele, Dennis Moolenaar, Bart Vanhoof, Francky Catthoor, Hugo De Man System-Level Power Optimization of Video Codecs on Embedded Cores: A Systematic Approach. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Wei Zhao, Christos A. Papachristou Testing DSP Cores Based on Self-Test Programs. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Katarzyna Radecka, Janusz Rajski, Jerzy Tyszer Arithmetic built-in self-test for DSP cores. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
18Ingrid Biehl Definition and Existence of Super Complexity Cores. Search on Bibsonomy ISAAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
18Uwe Schöning Complexity Cores and Hard Problem Instances. Search on Bibsonomy SIGAL International Symposium on Algorithms The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
18Ronald V. Book, Ding-Zhu Du The existence and density of generalized complexity cores. Search on Bibsonomy J. ACM The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
18Uwe Schöning Complexity Cores and Hard-To-Prove Formulas. Search on Bibsonomy CSL The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
18Pekka Orponen, David A. Russo, Uwe Schöning Polynomial Levelability and Maximal Complexity Cores. Search on Bibsonomy ICALP The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
17M. Aater Suleman, Onur Mutlu, Moinuddin K. Qureshi, Yale N. Patt Accelerating Critical Section Execution with Asymmetric Multicore Architectures. Search on Bibsonomy IEEE Micro The full citation details ... 2010 DBLP  DOI  BibTeX  RDF heterogeneous cores, parallel programming, CMP, multicore, locks, critical sections, serialization
17Takeshi Ogasawara Scalability limitations when running a Java web server on a chip multiprocessor. Search on Bibsonomy SYSTOR The full citation details ... 2010 DBLP  DOI  BibTeX  RDF performance, multi-cores, JVMs, web servers
17Gaurav Dhiman, Vasileios Kontorinis, Dean M. Tullsen, Tajana Rosing, Eric Saxe, Jonathan Chew Dynamic workload characterization for power efficient scheduling on CMP systems. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF power, multi-cores, workload characterization
17Wook-Shin Han, Jinsoo Lee Dependency-aware reordering for parallelizing query optimization in multi-core CPUs. Search on Bibsonomy SIGMOD Conference The full citation details ... 2009 DBLP  DOI  BibTeX  RDF query optimization, multi-cores, parallel databases
17Polychronis Xekalakis, Nikolas Ioannou, Marcelo Cintra Combining thread level speculation helper threads and runahead execution. Search on Bibsonomy ICS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multi-cores, thread-level speculation, helper threads, runahead execution
17Jürgen Rückert, Barbara Paech The Guilet Dialog Model and Dialog Core for Graphical User Interfaces. Search on Bibsonomy TAMODIA/HCSE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Dialog cores, Model-based user interfaces, Dialog models, UI engines
17Haohua Zhang, Hai Zhao, Wei Cai, Ming Zhao, Guilan Luo Visualization and Cognition of Large-Scale Software Structure Using the k-Core Analysis. Search on Bibsonomy IIH-MSP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF k-Cores, Visualization, Software metrics, Complex networks, Software structure
17John R. Feehrer, Paul Rotker, Milton Shih, Paul Gingras, Peter Yakutis, Stephen Phillips, John Heath, Sebastian Turullols Coherency Hub Design for Multi-Node Victoria Falls Server Systems. Search on Bibsonomy Hot Interconnects The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multi-threaded processor cores, multi-node CMT systems, serial interconnects, packet switching, cache coherency
17Xrysovalantis Kavousianos, Emmanouil Kalligeros, Dimitris Nikolos Optimal Selective Huffman Coding for Test-Data Compression. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Embedded Testing Techniques, Selective Huffman Coding, Test-Data Compression, IP Cores
17Scott Davidson 0001 How do we train today's students to become tomorrow's engineers? Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF DNA devices, nanomachine cores, CAD, training, engineers, university education
17Shashank Pandit, Duen Horng Chau, Samuel Wang, Christos Faloutsos Netprobe: a fast and scalable system for fraud detection in online auction networks. Search on Bibsonomy WWW The full citation details ... 2007 DBLP  DOI  BibTeX  RDF bipartite cores, markov random fields, belief propagation, fraud detection
17Perry H. Wang, Jamison D. Collins, Gautham N. Chinya, Bernard Lint, Asit Mallick, Koichi Yamada, Hong Wang 0003 Sequencer virtualization. Search on Bibsonomy ICS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF virtualization, multi-cores, MIMD
17Reza Moraveji, Hamid Sarbazi-Azad, Maghsoud Abbaspour Optimal Placement of Frequently Accessed IPs in Mesh NoCs. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Latency model, IPs/Cores mapping, System on chip, Network on chip, Mesh, Power model
17Érika F. Cota, Luigi Carro, Marcelo Lubaszewski, Alex Orailoglu Searching for Global Test Costs Optimization in Core-Based Systems. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF design space exploration, design for test, SOC testing, embedded cores testing
17Chris Rowen, Steve Leibson Flexible architectures for engineering successful SOCs. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF processor cores, MPSOC, RISC, RTL, SOC
17Frank Vahid, Susan Cotterell Tuning of Loop Cache Architectures to Programs in Embedded System Design. Search on Bibsonomy ISSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF customized architectures, embedded systems, low power, synthesis, memory hierarchy, cores, low energy, tuning, instruction fetching, architecture tuning, loop cache, filter cache
17Frank Vahid, Rilesh Patel, Greg Stitt Propagating constants past software to hardware peripherals in fixed-application embedded systems. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2001 DBLP  DOI  BibTeX  RDF embedded systems, low power, synthesis, system-on-a-chip, intellectual property, platforms, cores, tuning, constant propagation
17Prasanna Sundararajan, Steve Guccione Run-Time defect tolerance using JBits. Search on Bibsonomy FPGA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Java, FPGA, cores, defect tolerance, run-time reconfiguration
17Frank Vahid, Ann Gordon-Ross A self-optimizing embedded microprocessor using a loop table for low power. Search on Bibsonomy ISLPED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF parameterized architectures, self-optimizing architecture, embedded systems, low-power, system-on-a-chip, platforms, cores, tuning
17Alfredo Benso, Silvia Cataldo, Silvia Chiusano, Paolo Prinetto, Yervant Zorian A High-Level EDA Environment for the Automatic Insertion of HD-BIST Structures. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF built-in self-test, embedded cores, EDA tools
17Greg Stitt, Frank Vahid, Tony Givargis, Roman L. Lysecky A first-step towards an architecture tuning methodology for low power. Search on Bibsonomy CASES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF parameterized architectures, embedded systems, low-power, system-on-a-chip, cores, tuning
17Ranganathan Sankaralingam, Rama Rao Oruganti, Nur A. Touba Static Compaction Techniques to Control Scan Vector Power Dissipation. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Static Compaction, Test Vector Compaction, Heat Minimization, Low Power, Built-In Self-Test, Design-for-Testability, Integrated Circuits, switching activity, Scan Chains, Peak power, Embedded Cores, Digital Testing
17Frank Vahid, Tony Givargis The case for a configure-and-execute paradigm. Search on Bibsonomy CODES The full citation details ... 1999 DBLP  DOI  BibTeX  RDF methodology, IP, system-on-a-chip, cores
17Biing-Feng Wang Finding a k-Tree Core and a k-Tree Center of a Tree Network in Parallel. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Trees, cores, centers, tree contraction, the Euler-tour technique
17Rainer Dorsch, Hans-Joachim Wunderlich Accumulator based deterministic BIST. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF hardware pattern generator, BIST, embedded cores
17Shan-Chyun Ku, Wei-Kuan Shih, Biing-Feng Wang Efficient Parallel Algorithms for Optimally Locating a k-Leaf Tree in a Tree Network. Search on Bibsonomy ICPP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Trees, cores, tree contraction, the Euler-tour technique
17Uri Abraham On system executions and states. Search on Bibsonomy Appl. Intell. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF Correctness of programs, system execution, cores of executions, global time, semantics of concurrency
17Diana Bautista, Julio Sahuquillo, Houcine Hassan, Salvador Petit, José Duato Dynamic task set partitioning based on balancing resource requirements and utilization to reduce power consumption. Search on Bibsonomy SAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Yi-Hung Wei, Chuan-Yue Yang, Tei-Wei Kuo, Shih-Hao Hung, Yuan-Hua Chu Energy-efficient real-time scheduling of multimedia tasks on multi-core processors. Search on Bibsonomy SAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF multimedia tasks scheduling, H.264, multiprocessor scheduling, energy-efficient scheduling
17Joel C. Adams, Daniel J. Ernst, Thomas Murphy, Ariel Ortiz Multicore education: pieces of the parallel puzzle. Search on Bibsonomy SIGCSE The full citation details ... 2010 DBLP  DOI  BibTeX  RDF parallel, concurrency, training, programming, curriculum, languages, multi-core, workshops, many-core, faculty
17Chi Ching Chi, Ben H. H. Juurlink, Cor Meenderinck Evaluation of parallel H.264 decoding strategies for the Cell Broadband Engine. Search on Bibsonomy ICS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF parallel, video, programming, H.264, decoding, cell
17Todd Gamblin, Bronis R. de Supinski, Martin Schulz 0001, Robert J. Fowler, Daniel A. Reed Clustering performance data efficiently at massive scales. Search on Bibsonomy ICS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Jih-Ching Chiu, Yu-Liang Chou, Ding-Siang Su A hyperscalar multi-core architecture. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF cmps, dynamic multi-core chips, reconfigurable multi-core architectures, chip multiprocessors
17Sunpyo Hong, Hyesoon Kim An integrated GPU power and performance model. Search on Bibsonomy ISCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF GPU architecture, performance, energy, analytical model, CUDA, power estimation
17Vijay Anand Korthikanti, Gul Agha Towards optimizing energy costs of algorithms for shared memory architectures. Search on Bibsonomy SPAA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF performance, parallel algorithms, energy, shared memory architectures
17Dong Hyuk Woo, Hsien-Hsin S. Lee COMPASS: a programmable data prefetcher using idle GPU shaders. Search on Bibsonomy ASPLOS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF compute shader, GPU, prefetch
17Chenjie Yu, Peter Petrov Off-chip memory bandwidth minimization through cache partitioning for multi-core platforms. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF L2 cache partitioning, off-chip bandwidth reduction
17George L. Yuan, Ali Bakhoda, Tor M. Aamodt Complexity effective memory access scheduling for many-core accelerator architectures. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF graphics processors, on-chip interconnection networks, memory controller
17Jason Zebchuk, Vijayalakshmi Srinivasan, Moinuddin K. Qureshi, Andreas Moshovos A tagless coherence directory. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF directory coherence, cache coherence, Bloom filters
17Eiman Ebrahimi, Onur Mutlu, Chang Joo Lee, Yale N. Patt Coordinated control of multiple prefetchers in multi-core systems. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF prefetching, multi-core, feedback control, memory systems
17Sangmin Seo, Jaejin Lee, Zehra Sura Design and implementation of software-managed caches for multicores with local memory. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Samar Abdi, Gunar Schirner, Ines Viskic, Hansu Cho, Yonghyun Hwang, Lochi Yu, Daniel Gajski Hardware-dependent software synthesis for many-core embedded systems. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Young-Su Kwon, Bontae Koo, Nak-Woong Eum Partial conflict-relieving programmable address shuffler for parallel memories in multi-core processor. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17N. S. L. Phani Kumar, Sanjiv Satoor, Ian Buck Fast Parallel Expectation Maximization for Gaussian Mixture Models on GPUs Using CUDA. Search on Bibsonomy HPCC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Michael Perrone Multicore Programming Challenges. Search on Bibsonomy Euro-Par The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Danilo Ravotto, Edgar E. Sánchez, Matteo Sonza Reorda, Giovanni Squillero Design validation of multithreaded architectures using concurrent threads evolution. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF simulation based techniques, functional validation
17Pradipta De, Vijay Mann, Umang Mittaly Handling OS jitter on multicore multithreaded systems. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Aamir Shafi, Jawad Manzoor Towards efficient shared memory communications in MPJ express. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Bratin Saha, Xiaocheng Zhou, Hu Chen, Ying Gao, Shoumeng Yan, Mohan Rajagopalan, Jesse Fang, Peinan Zhang, Ronny Ronen, Avi Mendelson Programming model for a heterogeneous x86 platform. Search on Bibsonomy PLDI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF programming model, heterogeneous platforms
17Nam Sung Kim, Jun Seomun, Abhishek A. Sinkar, Jungseob Lee, Tae Hee Han, Ken Choi, Youngsoo Shin Frequency and yield optimization using power gates in power-constrained designs. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF optimization, yield, power gate, frequency
17Ronny Ronen Larrabee: a many-core Intel architecture for visual computing. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2009 DBLP  DOI  BibTeX  RDF graphics architecture, many-core computing, parrallel processing, processor arechitecture, software rendering
17Jin Sun 0006, Avinash Karanth Kodi, Ahmed Louri, Janet Meiling Wang NBTI aware workload balancing in multi-core systems. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Simon D. Hammond, Gihan R. Mudalige, J. A. Smith, Stephen A. Jarvis, J. A. Herdman, A. Vadgama WARPP: a toolkit for simulating high-performance parallel scientific codes. Search on Bibsonomy SimuTools The full citation details ... 2009 DBLP  DOI  BibTeX  RDF application performance modelling, simulation, performance modelling, high performance computing
17Sai Prashanth Muralidhara, Mahmut T. Kandemir Communication Based Proactive Link Power Management. Search on Bibsonomy HiPEAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Ghiath Al-Kadi, Andrei Sergeevich Terechko A Hardware Task Scheduler for Embedded Video Processing. Search on Bibsonomy HiPEAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Hardware task scheduler, task dependency patterns, H.264 video compression, embedded video processing
17Shailender Chaudhry, Robert Cypher, Magnus Ekman, Martin Karlsson, Anders Landin, Sherman Yip, Håkan Zeffer, Marc Tremblay Simultaneous speculative threading: a novel pipeline architecture implemented in sun's rock processor. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF checkpoint-based architecture, hardware speculation, sst, chip multiprocessor, cmp, instruction-level parallelism, processor architecture, memory-level parallelism
17Zhanglei Wang, Krishnendu Chakrabarty Test Data Compression Using Selective Encoding of Scan Slices. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Ricardo Chaves, Georgi Kuzmanov, Leonel Sousa, Stamatis Vassiliadis Cost-Efficient SHA Hardware Accelerators. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Seng Lin Shee, Andrea Erdos, Sri Parameswaran Architectural Exploration of Heterogeneous Multiprocessor Systems for JPEG. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF design, architecture, multiprocessor, SoC, pipelines, ASIPs, heterogeneous system
17Matteo Monchiero, Ramon Canal, Antonio González 0001 Power/Performance/Thermal Design-Space Exploration for Multicore Architectures. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF simulation of, Modeling, evaluation, Measurement, Parallel Architectures, Shared memory, Energy-aware systems, multiple-processor systems
17Euiseong Seo, Jinkyu Jeong, Seon-Yeong Park, Joonwon Lee Energy Efficient Scheduling of Real-Time Tasks on Multicore Processors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Georg Gottlob, Alan Nash Efficient core computation in data exchange. Search on Bibsonomy J. ACM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF complexity, data integration, constraints, dependencies, data exchange, core, conjunctive queries, query evaluation, tractability, Chase, universal solutions
17Ozgur Sinanoglu Scan-in and Scan-out Transition Co-optimization Through Modelling Generalized Serial Transformations. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Test power reduction, Scan power reduction, Serial transformations, Scan chain modification, Design for testability, Core-based testing
17Taeho Kgil, Ali G. Saidi, Nathan L. Binkert, Steven K. Reinhardt, Krisztián Flautner, Trevor N. Mudge PicoServer: Using 3D stacking technology to build energy efficient servers. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF 3D stacking technology, Tier-1/2/3 server, Low power, chip multiprocessor, full-system simulation
17Rogelio Serrano, Juan J. Tapia, Oscar Montiel, Roberto Sepúlveda, Patricia Melin High Performance Parallel Programming of a GA Using Multi-core Technology. Search on Bibsonomy Soft Computing for Hybrid Intelligent Systems The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Barbara M. Chapman Managing Multicore with OpenMP (Extended Abstract). Search on Bibsonomy PVM/MPI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Olivier Certner, Zheng Li, Pierre Palatin, Olivier Temam, Frederic Arzel, Nathalie Drach A Practical Approach for Reconciling High and Predictable Performance in Non-Regular Parallel Programs. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Magnus Själander, Andrei Sergeevich Terechko, Marc Duranton A Look-Ahead Task Management Unit for Embedded Multi-Core Architectures. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Joe Rattner Intel's Tera-scale Computing Project: The first five years, the next five years. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Mark D. Hill Amdahl's Law in the multicore era. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Hiroki Matsutani, Michihiro Koibuchi, Hideharu Amano, Daihan Wang Run-time power gating of on-chip routers using look-ahead routing. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Marina Biberstein, Yuval Harel, Andre Heilper Clock Synchronization in Cell BE Traces. Search on Bibsonomy Euro-Par The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Hongbo Zeng, Jun Wang, Ge Zhang 0007, Weiwu Hu An interconnect-aware power efficient cache coherence protocol for CMPs. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Sevin Fide, Stephen F. Jenks Architecture optimizations for synchronization and communication on chip multiprocessors. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17J. P. Grossman, Cliff Young, Joseph A. Bank, Kenneth M. Mackenzie, Doug Ierardi, John K. Salmon, Ron O. Dror, David E. Shaw Simulation and embedded software development for Anton, a parallel machine with heterogeneous multicore ASICs. Search on Bibsonomy CODES+ISSS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Anton, simulation, embedded software, special-purpose hardware
17Daniel D. Gajski, Samar Abdi, Ines Viskic Model Based Synthesis of Embedded Software. Search on Bibsonomy SEUS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17William Lloyd Bircher, Lizy K. John Analysis of dynamic power management on multi-core processors. Search on Bibsonomy ICS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF ACPI, performance, operating system, power management, multi-core
17Ravishankar Rao, Sarma B. K. Vrudhula Efficient online computation of core speeds to maximize the throughput of thermally constrained multi-core processors. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Satyanarayana Nekkalapu, Haitham Akkary, Komal Jothi, Renjith Retnamma, Xiaoyu Song A simple latency tolerant processor. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Wangyuan Zhang, Tao Li 0006 Managing multi-core soft-error reliability through utility-driven cross domain optimization. Search on Bibsonomy ASAP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Kai Zheng 0005, Yongxin Zhu 0001, Jun Xu Evaluation of Partitioning Methods for Stream Applications on a Heterogeneous Multi-core Processor Simulator. Search on Bibsonomy EUC (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Lin-Wang Wang, Byounghak Lee, Hongzhang Shan, Zhengji Zhao, Juan C. Meza, Erich Strohmaier, David H. Bailey Linearly scaling 3D fragment method for large-scale electronic structure calculations. Search on Bibsonomy SC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Filip Blagojevic, Xizhou Feng, Kirk W. Cameron, Dimitrios S. Nikolopoulos Modeling Multigrain Parallelism on Heterogeneous Multi-core Processors: A Case Study of the Cell BE. Search on Bibsonomy HiPEAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
Displaying result #901 - #1000 of 4828 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license