The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "VLSI-SoC"( http://dblp.L3S.de/Venues/VLSI-SoC )

URL (DBLP): http://dblp.uni-trier.de/db/conf/ifip10-5

Publication years (Num. hits)
2001 (39) 2002-2003 (80) 2005 (21) 2006 (76) 2007 (62) 2009-2010 (85) 2011 (84) 2012 (61) 2013 (83) 2014 (45) 2015 (65) 2016 (50) 2017 (48) 2018 (50) 2019 (65) 2020 (42) 2021 (45) 2022 (91) 2023 (52)
Publication types (Num. hits)
inproceedings(1124) proceedings(20)
Venues (Conferences, Journals, ...)
VLSI-SoC(1144)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 1144 publication records. Showing 1144 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Arash Mehdizadeh, Behnam Ghavami, Morteza Saheb Zamani, Hossein Pedram, Farhad Mehdipour An efficient heterogeneous reconfigurable functional unit for an adaptive dynamic extensible processor. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Cristiano Lazzari, Cristiano Santos, Adriel Ziesemer, Lorena Anghel, Ricardo Reis 0001 Efficient timing closure with a transistor level design flow. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Julien Goulier, Eric André, Marc Renaudin A new analytical approach of the impact of jitter on continuous time delta sigma converters. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1YuQing Yang 0002, Terry Sculley, Jacob Abraham A 128dB dynamic range 1kHz bandwidth stereo ADC with 114dB THD. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Yikui Dong, Steve Howard, Freeman Zhong, Scott Lowrie, Ken Paradis, Jan Kolnik, Jeff Burleson AC-coupling strategy for high-speed transceivers of 10Gbps and beyond. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Ilker Hamzaoglu, Ozgur Tasdizen, Esra Sahin An efficient H.264 intra frame coder system design. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Sheng-Yu Peng, Paul E. Hasler, David V. Anderson An analog programmable multi-dimensional radial basis function based classifier. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1 IFIP VLSI-SoC 2007, IFIP WG 10.5 International Conference on Very Large Scale Integration of System-on-Chip, Atlanta, GA, USA, 15-17 October 2007 Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  BibTeX  RDF
1Shiv Balakrishnan, Chris Eddington Efficient DSP algorithm development for FPGA and ASIC technologies. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Gustavo Neuberger, Fernanda Gusmão de Lima Kastensmidt, Ricardo Reis 0001, Gilson I. Wirth, Ralf Brederlow, Christian Pacha Statistical analysis of systematic and random variability of flip-flop race immunity in 130nm and 90nm CMOS technologies. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Cyrus Bazeghi, Francisco J. Mesa-Martinez, Brian Greskamp, Josep Torrellas, Jose Renau Estimating design time for system circuits. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Jorge Fernandez Villena, Wil H. A. Schilders, L. Miguel Silveira Parametric structure-preserving model order reduction. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Sameer Sharma, L. G. Johnson First order quasi-static SOI MOSFET channel capacitance model. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Saleh Abdel-Hafeez, Shadi M. Harb, William R. Eisenstadt A low-power CAM using a 12-transistor design cell. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Ricardo Augusto da Luz Reis, Adam Osseiran, Hans-Jörg Pfleiderer (eds.) VLSI-SoC: From Systems To Silicon, Proceedings of IFIP TC 10, WG 10.5, Thirteenth International Conference on Very Large Scale Integration of System on Chip (VLSI-SoC 2005), October 17-19, 2005, Perth, Australia Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  BibTeX  RDF
1M. Sudhakar, Ramachandruni Venkata Kamala, M. B. Srinivas A bit-sliced, scalable and unified montgomery multiplier architecture for RSA and ECC. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Dimitrios N. Serpanos, Wayne H. Wolf VLSI models of network-on-chip interconnect. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Adriel Ziesemer, Cristiano Lazzari Transistor level automatic layout generator for non-complementary CMOS cells. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Srimoyee Sen, Urmimala Roy, Chaitanya Kshirsagar, Navakanta Bhat, Chandan Kumar Sarkar Circuit prospects of DGFET: Variable gain differential amplifier an a schmitt trigger with adjustable hysteresis. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Hua Tang Hierarchical statistical analysis of performance variation for continuous-time delta-sigma modulators. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Krishna Santhanam, Kenneth S. Stevens Dynamic gates with hysteresis and configurable noise tolerance. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Vijay Sundaresan, Srividhya Rammohan, Ranga Vemuri Power invariant secure IC design methodology using reduced complementary dynamic and differential logic. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Jungsoo Kim, Kyungsu Kang, Heejun Shim, Woong Hwangbo, Chong-Min Kyung Fast estimation of software energy consumption using IPI(Inter-Prefetch Interval) energy model. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Massimo Rovini, Giuseppe Gentile, Francesco Rossi, Luca Fanucci A minimum-latency block-serial architecture of a decoder for IEEE 802.11n LDPC codes. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Lucas Brusamarello, Roberto da Silva, Gilson I. Wirth, Ricardo A. L. Reis Obtaining delay distribution of dynamic logic circuits by error propagation at the electrical level. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Vyas Krishnan, Srinivas Katkoori Minimizing wire delays by net-topology aware binding during floorplan- driven high level synthesis. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Run Chen, Liyuan Liu, Dongmei Li, Zhihua Wang 0001 Full custom design of a three-stage amplifier with 5500MHz·pF/mW Performance in 0.18 mum CMO S. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Davide Pandini, Giuseppe Desoli, Alessandro Cremonesi Computing and design for software and silicon manufacturing. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Robert K. Henderson, Bruce Rae, David R. Renshaw, Edoardo Charbon Oversampled Time Estimation Techniques for Precision Photonic Detectors. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1K. Schultz, Ketan Paranjape SOC Debug Challenges and Tools. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Ittetsu Taniguchi, Kyoko Ueda, Keishi Sakanushi, Yoshinori Takeuchi, Masaharu Imai Task Partitioning Oriented Architecture Exploration Method for Dynamic Reconfigurable Architectures. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Yann Oddos, Katell Morin-Allory, Dominique Borrione On-Line Test Vector Generation from Temporal Constraints Written in PSL. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Alin Razafindraibe, Philippe Maurine, Michel Robert, Marc Renaudin Security evaluation of dual rail logic against DPA attacks. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Subhasish Mitra, Ming Zhang 0017, Norbert Seifert, T. M. Mak, Kee Sup Kim Soft Error Resilient System Design through Error Correction. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Shampa Chakraverty, Arvind Batra, Aman Rathi Directed Convergence Heuristic: A fast & novel approach to Steiner Tree Construction. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Anna Bernasconi 0001, Valentina Ciriani, Roberto Cordone EXOR Projected Sum of Products. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1A. Domman An overview of where the fields of SoCs, HDI and MEMS are heading to and how to characterize them. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Sujan Pandey, Tudor Murgan, Manfred Glesner Energy Conscious Simultaneous Voltage Scaling and On-chip Communication Bus Synthesis. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Stéphane Badel, Ilhan Hatirnaz, Yusuf Leblebici, Elizabeth J. Brauer Implementation of Structured ASIC Fabric Using Via-Programmable Differential MCML Cells. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Beate Muranko, Rolf Drechsler Technical Documentation of Software and Hardware in Embedded Systems. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Arnaldo Azevedo, Bruno Zatt, Luciano Volcan Agostini, Sergio Bampi Motion Compensation Decoder Architecture for H.264/AVC Main Profile Targeting HDTV. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Chris Bartels, Jos Huisken, Kees Goossens, Patrick Groeneveld, Jef L. van Meerbergen Comparison of An Æthereal Network on Chip and A Traditional Interconnect for A Multi-Processor DVB-T System on Chip. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Shan Jiang, Manh Anh Do, Kiat Seng Yeo A 200-MHz CMOS Mixed-Mode Sample-and-Hold Circuit for Pipelined ADCs. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Justin Xu, Cheng-Chew Lim Modelling Heterogeneous Interactions in SoC Verification. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Ricardo C. Goncalves da Silva, Henri Boudinov, Luigi Carro A low power high performance CMOS voltage-mode quaternary full adder. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Chin-Cheng Kuo, Chien-Nan Jimmy Liu On Efficient Behavioral Modeling to Accurately Predict Supply Noise Effects of PLL Designs in Real Systems. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Margrit R. Krug, Marcelo Lubaszewski, Marcelo de Souza Moraes Improving ATPG Gate-Level Fault Coverage by using Test Vectors generated from Behavioral HDL Descriptions. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Malav Shah, Dipankar Nagchoudhuri BIST Scheme for Low Heat Dissipation and Reduced Test Application Time. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Tudor Murgan, Oliver Mitea, Sujan Pandey, Petru Bogdan Bacinschi, Manfred Glesner Simultaneous Placement and Buffer Planning for Reduction of Power Consumption in Interconnects and Repeaters. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Pierre Vanhauwaert, Régis Leveugle, Philippe Roche Reduced Instrumentation and Optimized Fault Injection Control for Dependability Analysis. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Vagner S. Rosa, Eduardo A. C. da Costa, Sergio Bampi A VHDL Generation Tool for Optimized Parallel FIR Filters. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Sinan Yalcin, Ilker Hamzaoglu A High Performance Hardware Architecture for Half-Pixel Accurate H.264 Motion Estimation. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Junichi Miyakoshi, Yuichiro Murachi, Masaki Hamamoto, Takahiro Iinuma, Tomokazu Ishihara, Hiroshi Kawaguchi 0001, Masahiko Yoshimoto, Tetsuro Matsuno A Power- and Area-Efficient SRAM Core Architecture for Super-Parallel Video Processing. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Carlotta Guiducci, Claudio Stagni, M. Brocchi, Massimo Lanzoni, Bruno Riccò, Augusto Nascetti, Davide Caputo, Giampiero de Cesare Innovative Optoelectronic Approaches to Biomolecular Analysis with Arrays of Silicon Devices. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Xiaopeng Yu, Manh Anh Do, Jianguo Ma, Kiat Seng Yeo A New Phase Noise Model for TSPC based divider. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Laurent Fesquet, Bertrand Folco, M. Steiner, Marc Renaudin State-holding in Look-Up Tables: application to asynchronous logic. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Srinivasan Murali, Paolo Meloni, Federico Angiolini, David Atienza, Salvatore Carta, Luca Benini, Giovanni De Micheli, Luigi Raffo Designing Message-Dependent Deadlock Free Networks on Chips for Application-Specific Systems on Chips. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Kostas Siozios, Dimitrios Soudris, Antonios Thanailakis Efficient Power Management Strategy of FPGAs Using a Novel Placement Technique. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Ron Press, Jay Jahangiri The Demand and Practical Approach for 100x Test Compression. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Mehmet Derin Harmanci, Nuria Pazos, Paolo Ienne, Yusuf Leblebici A Predictable Communication Scheme for Embedded Multiprocessor Systems. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Peter Malík, Marcel Baláz, Tomás Pikula, Martin Simlastík MDCT IP Core Generator with Architectural Model Simulation. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Ravindra V. Kshirsagar, Rajendra M. Patrikar Design of a Reconfigurable Multiprocessor Core for Higher Performance and Reliability of Embedded Systems. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Bilge Saglam Akgul, Lakshmi N. Chakrapani, Pinar Korkmaz, Krishna V. Palem Probabilistic CMOS Technology: A Survey and Future Directions. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Marco Giorgetta, Marco D. Santambrogio, Donatella Sciuto, Paola Spoletini A graph-coloring approach to the allocation and tasks scheduling for reconfigurable architectures. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Yngvar Berg, Omid Mirmotahari, Snorre Aunet Pseudo Floating-Gate Inverter with Feedback Control. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Antonio Carlos Schneider Beck, Victor F. Gomes, Luigi Carro Automatic Dataflow Execution with Reconfiguration and Dynamic Instruction Merging. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Zeynep Toprak Deniz, Yusuf Leblebici, Eric A. Vittoz Configurable On-Line Global Energy Optimization in Multi-Core Embedded Systems Using Principles of Analog Computation. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Antonis Papanikolaou, Miguel Miranda, Hua Wang, Francky Catthoor, M. Satyakiran, Pol Marchal, Ben Kaczer, C. Bruynseraede, Zsolt Tokei Reliability issues in deep deep sub-micron technologies: time-dependent variability and its impact on embedded system design. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Pablo García Del Valle, David Atienza, Ivan Magan, Javier Garcia Flores, Esther Andres Perez, Jose Manuel Mendias, Luca Benini, Giovanni De Micheli A Complete Multi-Processor System-on-Chip FPGA-Based Emulation Framework. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Luís Guerra e Silva, Zhenhai Zhu, Joel R. Phillips, L. Miguel Silveira Variation-Aware, Library Compatible Delay Modeling Strategy. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Giovanni Beltrame, Donatella Sciuto, Cristina Silvano, Pierre G. Paulin, Essaid Bensoudane An Application Mapping Methodology and Case Study for Multi-Processor On-Chip Architectures. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Ramachandruni Venkata Kamala, M. B. Srinivas High-Throughput Montgomery Modular Multiplication. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Rodrigo M. Passos, José Augusto Miranda Nacif, Raquel A. F. Mini, Antonio Alfredo Ferreira Loureiro, Antônio Otávio Fernandes, Claudionor José Nunes Coelho Jr. System-level Dynamic Power Management Techniques for Communication Intensive Devices. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Sam Kavusi, Kunal Ghosh, Abbas El Gamal Architectures for High Dynamic Range, High Speed Image Sensor Readout Circuits. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Matteo Murgida, Alessandro Panella, Vincenzo Rana, Marco D. Santambrogio, Donatella Sciuto Fast IP-Core Generation in a Partial Dynamic Reconfiguration Workflow. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Wagston T. Staehler, Eduardo A. Berriel, Altamiro Amadeu Susin, Sergio Bampi Architecture of an HDTV Intraframe Predictor for a H.264 Decoder. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Livier Lizarraga, Salvador Mir, Gilles Sicard, Ahcène Bounceur Study of a BIST Technique for CMOS Active Pixel Sensors. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Jacques Benkowski The system is really in the SoC : new investment opportunities. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Jean-Pierre Schoellkopf Design challenges for the 45 nm node and below. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Bert Gyselinckx, Ruud J. M. Vullers, Chris Van Hoof, Julien Ryckaert, Refet Firat Yazicioglu, Paolo Fiorini, Vladimir Leonov Human++: Emerging Technology for Body Area Networks. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Alain J. Martin Can Asynchronous Techniques Help the SoC Designer? Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Renato Fernandes Hentschke, Sandro Sawicki, Marcelo O. Johann, Ricardo Augusto da Luz Reis An Algorithm for I/O Partitioning Targeting 3D Circuits and Its Impact on 3D-Vias. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Ahcène Bounceur, Salvador Mir, Luís Rolíndez, Emmanuel Simeu CAT platform for analogue and mixed-signal test evaluation and optimization. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Shekhar Borkar Introduction to panel discussion Probabilistic & statistical design - the wave of the future. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Senthamaraikannan Raghunath, Syed Mahfuzul Aziz High Speed Area Efficient Multi-resolution 2-D 9/7 filter DWT Processor. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Shih-Chieh Wu, Chun-Yao Wang PEACH: A Novel Architecture for Probabilistic Combinational Equivalence Checking. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Xue-mi Zhao, Zhiying Wang 0003, Hongyi Lu, Kui Dai A 6.35Mbps 1024-bit RSA crypto coprocessor in a 0.18um CMOS technology. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Se Hun Kim, Vincent John Mooney Sleepy Keeper: a New Approach to Low-leakage Power VLSI Design. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Shoji Kawahito Circuit and Device Technologies for CMOS functional Image Sensors. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Sujan Pandey, Nurten Utlu, Manfred Glesner Tabu Search Based On-Chip Communication Bus Synthesis for Shared Multi-Bus Based Architecture. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Ulrich Bockelmann Detecting DNA by field effect transistor arrays. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Abdelmajid Bouajila, Johannes Zeppenfeld, Walter Stechele, Andreas Herkersdorf, Andreas Bernauer, Oliver Bringmann 0001, Wolfgang Rosenstiel Organic Computing at the System on Chip Level. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Hanene Ben Fradj, Cécile Belleudy, Michel Auguin Main Memory Energy Optimization for Multi-Task Applications. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Motoki Amagasaki, Takurou Shimokawa, Kazunori Matsuyama, Ryoichi Yamaguchi, Hideaki Nakayama, Naoto Hamabe, Masahiro Iida, Toshinori Sueyoshi Evaluation of Variable Grain Logic Cell Architecture for Reconfigurable Device. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Jeff Brateman, Changjiu Xian, Yung-Hsiang Lu Energy-Effcient Scheduling for Autonomous Mobile Robots. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Hamid Shojaei, Mohammad Sayyaran Signal Coverage Computation in Formal Verification. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Hsin-Chou Chi, Chia-Ming Wu An Efficient Scheduler for Circuit-Switched Network-on-Chip Architectures. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Romanelli Lodron Zuim, José T. de Sousa, Claudionor José Nunes Coelho Jr. A Fast SAT Solver Strategy Based on Negated Clauses. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Ramon Tortosa Navas, Antonio Aceituno, José M. de la Rosa 0001, Francisco V. Fernández 0001, Ángel Rodríguez-Vázquez Design of a 1.2-V 130nm CMOS 13-bit@40MS/s Cascade 2-2-1 Continuous-Time Sigma-Delta Modulator. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Tsuyoshi Iwagaki, Satoshi Ohtake, Hideo Fujiwara A New Test Generation Model for Broadside Transition Testing of Partial Scan Circuits. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
Displaying result #901 - #1000 of 1144 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license