The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for adders with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1960-1974 (15) 1975-1980 (15) 1982-1987 (18) 1988-1990 (21) 1991-1992 (25) 1993 (20) 1994 (19) 1995 (26) 1996 (17) 1997 (20) 1998 (25) 1999 (32) 2000 (33) 2001 (48) 2002 (34) 2003 (55) 2004 (43) 2005 (62) 2006 (65) 2007 (69) 2008 (68) 2009 (32) 2010 (32) 2011 (27) 2012 (24) 2013 (24) 2014 (18) 2015 (18) 2016 (26) 2017 (31) 2018 (39) 2019 (31) 2020 (38) 2021 (42) 2022 (29) 2023 (43) 2024 (7)
Publication types (Num. hits)
article(465) incollection(4) inproceedings(717) phdthesis(5)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 823 occurrences of 430 keywords

Results
Found 1191 publication records. Showing 1191 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
9Keklik Alptekin Bayam, Siddika Berna Örs Differential Power Analysis resistant hardware implementation of the RSA cryptosystem. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Basant K. Mohanty, Pramod Kumar Meher Throughput-scalable hybrid-pipeline architecture for multilevel lifting 2-D DWT of JPEG 2000 coder. Search on Bibsonomy ASAP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Basant K. Mohanty, Pramod Kumar Meher Concurrent systolic architecture for high-throughput implementation of 3-dimensional discrete wavelet transform. Search on Bibsonomy ASAP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Ivan D. Castellanos, James E. Stine Compressor trees for decimal partial product reduction. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF VLSI, decimal arithmetic
9Krishnan Ramakrishnan, R. Rajaraman, Narayanan Vijaykrishnan, Yuan Xie 0001, Mary Jane Irwin, Kenan Unlu Hierarchical Soft Error Estimation Tool (HSEET). Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Reliability, Soft Errors, Flip-Flop, Combinational Logic
9Hyoung Jin Yun, Jang Woong Park, Myung Hoon Sunwoo Data-aided algorithm based frequency synchronizer for DVB-S2. Search on Bibsonomy ICUIMC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF coarse frequency synchronizer, data-aided (DA) algorithm, digital video broadcasting-satellite second generation (DVBS2), initial frequency synchronizer
9Sundeepkumar Agarwal, Pavankumar V. K., Yokesh R. Energy-Efficient, High Performance Circuits for Arithmetic Units. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Nadia Nedjah, Luiza de Macedo Mourelle Reconfigurable hardware for neural networks: binary versus stochastic. Search on Bibsonomy Neural Comput. Appl. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Neural network hardware, Stochastic computing
9Ling Zhuo, Gerald R. Morris, Viktor K. Prasanna High-Performance Reduction Circuits Using Deeply Pipelined Operators on FPGAs. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF G.1.0.g Parallel algorithms, C.3.e Reconfigurable hardware
9Kyosun Kim, Kaijie Wu 0001, Ramesh Karri The Robust QCA Adder Designs Using Composable QCA Building Blocks. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Tad Hogg, Greg Snider Defect-tolerant Logic with Nanoscale Crossbar Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF fault modeling, nanotechnology, molecular electronics, circuit reliability
9Sotirios Xydis, George Economakos, Kiamal Z. Pekmestzi Flexibility Inlining into Arithmetic Data-paths Exploiting A Regular Interconnection Scheme. Search on Bibsonomy ICSAMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Toshinori Sato, Yuji Kunitake Exploiting Input Variations for Energy Reduction. Search on Bibsonomy PATMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF typical-case design, dynamic retiming, reliable microarchitecture, robust microarchitecture, DVFS, deep sub-micron
9Hector Kirschenbaum, Alejandro De la Plaza Voltage Pump Based on Self Clocked Cells. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Chin-Liang Wang, Yuan Ouyang, Feng-Hsing Huang A Low-Complexity Peak-to-Average Power Ratio Reduction Technique for OFDM Systems Using Guided Scrambling Coding. Search on Bibsonomy VTC Spring The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Jinbo Xu, Yong Dou, Junfeng Li, Xingming Zhou, Qiang Dou FPGA Accelerating Algorithms of Active Shape Model in People Tracking Applications. Search on Bibsonomy DSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Kanwaldeep Sobti, Lanping Deng, Chaitali Chakrabarti, Nikos Pitsianis, Xiaobai Sun, Jungsub Kim, Prasanth Mangalagiri, Kevin M. Irick, Mahmut T. Kandemir, Vijaykrishnan Narayanan Efficient Function Evaluations with Lookup Tables for Structured Matrix Operations. Search on Bibsonomy SiPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Eduardo Mesquita, Helen Franck, Luciano Volcan Agostini, José Luís Güntzel RIC Fast Adder and its Set Tolerant Implementation in FPGAs. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Gustavo Liñán Cembrano Focal plane processors & pixel level processing: mimicking natural vision systems to solve image processing problems. Search on Bibsonomy SBCCI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF analog image processing, neural networks, CMOS image sensors, focal plane
9Sudhakar Maddi, M. B. Srinivas A unified and reconfigurable Montgomery Multiplier architecture without four-to-two CSA. Search on Bibsonomy SBCCI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF sum-carry logic, RSA, ECC, reconfigurable architectures, montgomery multiplication, unified architectures
9Nachiket Kapre, André DeHon Optimistic Parallelization of Floating-Point Accumulation. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Dinesh Patil, Omid Azizi, Mark Horowitz, Ron Ho, Rajesh Ananthraman Robust Energy-Efficient Adder Topologies. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Michal Bidlo Evolutionary Design of Generic Combinational Multipliers Using Development. Search on Bibsonomy ICES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Lukás Sekanina Evolution of Polymorphic Self-checking Circuits. Search on Bibsonomy ICES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Edgar Ferrer, Dorothy Bollman, Oscar Moreno A Fast Finite Field Multiplier. Search on Bibsonomy ARC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Yong Dou, Jinbo Xu FPGA-Accelerated Active Shape Model for Real-Time People Tracking. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF FPGA, Active Shape Model, People Tracking
9Zbysek Gajda, Lukás Sekanina Reducing the number of transistors in digital circuits using gate-level evolutionary design. Search on Bibsonomy GECCO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF digital circuits, evolvable hardware, evolutionary design
9Yukihiro Iguchi, Tsutomu Sasao, Munehiro Matsuura On Designs of Radix Converters Using Arithmetic Decompositions--Binary to Decimal Converters--. Search on Bibsonomy ISMVL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Tsutomu Sasao An Application of 16-Valued Logic to Design of Reconfigurable Logic Arrays. Search on Bibsonomy ISMVL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Henning Gundersen, Yngvar Berg Fast Addition Using Balanced Ternary Counters Designed with CMOS Semi-Floating Gate Devices. Search on Bibsonomy ISMVL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Nilanjan Banerjee, Jung Hwan Choi, Kaushik Roy 0001 A process variation aware low power synthesis methodology for fixed-point FIR filters. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF fixed-point FIR filters, variation aware, low-power, synthesis
9Debabrata Mohapatra, Georgios Karakonstantis, Kaushik Roy 0001 Low-power process-variation tolerant arithmetic units using input-based elastic clocking. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF elastic clocking, process tolerant, low power
9Oscar Gustafsson A Difference Based Adder Graph Heuristic for Multiple Constant Multiplication Problems. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Juha Yli-Kaakinen, Tapio Saramäki A Simplified Structure for FIR Filters with an Adjustable Fractional Delay. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Rachit Agarwal 0001, Emanuel M. Popovici, Brendan O'Flynn, Michael E. O'Sullivan A Parallel Architecture for Hermitian Decoders: Satisfying Resource and Throughput Constraints. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Shrutisagar Chandrasekaran, Abbes Amira Novel Sparse OBC based Distributed Arithmetic Architecture for Matrix Transforms. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9John Moskal, Erdal Oruklu, Jafar Saniie Design and Synthesis of a Carry-Free Signed-Digit Decimal Adder. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Awni Itradat, M. Omair Ahmad, Ali M. Shatnawi Architectural Synthesis of DSP Applications with Dynamically Reconfigurable Functional Units. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Sreehari Veeramachaneni, Kirthi M. Krishna, Lingamneni Avinash, Reddy Puppala Sreekanth, M. B. Srinivas Novel High-Speed Redundant Binary to Binary converter using Prefix Networks. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Steffen Tarnick Design of Embedded m-out-of-n Code Checkers Using Complete Parallel Counters. Search on Bibsonomy IOLTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Piotr Zajac, Jacques Henri Collet Production Yield and Self-Configuration in the Future Massively Defective Nanochips. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Timothy J. Dysart, Peter M. Kogge Probabilistic Analysis of a Molecular Quantum-Dot Cellular Automata Adder. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Maryam Ashouei, Soumendu Bhattacharya, Abhijit Chatterjee Probabilistic Compensation for Digital Filters Using Pervasive Noise-Induced Operator Errors. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9John P. Hayes, Ilia Polian, Bernd Becker 0001 An Analysis Framework for Transient-Error Tolerance. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Sanjiv Kumar Mangal, Raghavendra B. Deshmukh, Rahul M. Badghare, Rajendra M. Patrikar FPGA Implementation of Low Power Parallel Multiplier. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Dongku Kang, Hunsoo Choo, Khurram Muhammad, Kaushik Roy 0001 Layout-driven architecture synthesis for high-speed digital filters. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Sangjin Hong, Kyoung-Su Park, Jun-Hee Mun Design and implementation of a high-speed matrix multiplier based on word-width decomposition. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9George Xenoulis, Mihalis Psarakis, Dimitris Gizopoulos, Antonis M. Paschalis Testability Analysis and Scalable Test Generation for High-Speed Floating-Point Units. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF testability conditions, datapath testing, floating-point unit testing, Test generation, processor testing
9Tsutomu Sasao Analysis and synthesis of weighted-sum functions. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Seyed Ebrahim Esmaeili, Nabil I. Khachab, Moustafa Y. Ghannam Effect of Glitches on the Efficiency of Components' Region-Constrained Placement as a Fast Approach to Reduce FPGA's Dynamic Power Consumption. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Johannes Grad, James E. Stine Dual-Mode High-Speed Low-Energy Binary Addition. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Anup Hosangadi, Farzan Fallah, Ryan Kastner Optimizing high speed arithmetic circuits using three-term extraction. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Balkaran S. Gill, Christos A. Papachristou, Francis G. Wolff Soft delay error analysis in logic circuits. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Ahmet Akkas Dual-Mode Quadruple Precision Floating-Point Adder. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Quadruple precision, dualmode, computer arithmetic, floating-point, adder, double precision
9Chuan He, Guan Qin, Mi Lu, Wei Zhao 0001 An Optimized Finite Difference Computing Engine on FPGAs. Search on Bibsonomy FCCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Kazuo Sakiyama, Nele Mentens, Lejla Batina, Bart Preneel, Ingrid Verbauwhede Reconfigurable Modular Arithmetic Logic Unit for High-Performance Public-Key Cryptosystems. Search on Bibsonomy ARC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF RSA, Elliptic Curve Cryptography (ECC), Public-Key Cryptography (PKC), Reconfigurable architecture, FPGA implementation
9Mariusz Bajger, Amos Omondi Implementations of Square-Root and Exponential Functions for Large FPGAs. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Jason George, Bo Marr, Bilge Saglam Akgul, Krishna V. Palem Probabilistic arithmetic and energy efficient embedded signal processing. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF PCMOS, probabilistic arithmetic, low power, DSP, probabilistic computing
9A. Prasad Vinod 0001, Ankita Singla, Chip-Hong Chang Improved differential coefficients-based low power FIR filters. Part I. Fundamentals. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Kiran Puttaswamy, Gabriel H. Loh The impact of 3-dimensional integration on the design of arithmetic units. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9K. Supramaniam, Yong Lian Complexity reduction for frequency-response masking filters using cyclotomic polynomial prefilters. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Kazuo Sakiyama, Bart Preneel, Ingrid Verbauwhede A fast dual-field modular arithmetic logic unit and its hardware implementation. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Ali Bastani, Charles A. Zukowski A Low-Leakage High-Speed Monotonic Static CMOS 64b Adder in a Dual Gate Oxide 65-nm CMOS Technology. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Andrea Boni, Alessandro Zorat FPGA Implementation of Support Vector Machines with Pseudo-Logarithmic Number Representation. Search on Bibsonomy IJCNN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Himanshu Thapliyal, Anvesh Ramasahayam, Vivek Reddy Kotha, Kunul Gottimukkula, M. B. Srinivas Modified Montgomery Modular Multiplication Using 4: 2 Compressor and CSA Adder. Search on Bibsonomy DELTA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Shyue-Kung Lu, Ting-Yu Chen, Wei-Yuan Liu Efficient Built-In Self-Test Schemes for Video Coding Cores: a Case Study on DCT/IDCT Circuits. Search on Bibsonomy PRDC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Tze-Yun Sung, Mao-Jen Sun, Yaw-Shih Shieh, Hsi-Chin Hsin Memory-Efficiency and High-Speed Architectures for Forward and Inverse DCT with Multiplierless Operation. Search on Bibsonomy PSIVT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF parallel-pipelined architecture, memory-efficiency, DCT, high-performances, IDCT
9Rodney Van Meter, Kae Nemoto, W. J. Munro, Kohei M. Itoh Distributed Arithmetic on a Quantum Multicomputer. Search on Bibsonomy ISCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Chiou-Kou Tung, Shao-Hui Shieh, Yu-Cherng Hung, Ming-Chien Tsai High-Performance Low-Power Full-Swing Full Adder Cores with Output Driving Capability. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Tapio Saramäki, Juha Yli-Kaakinen A Novel Systematic Approach for Synthesizing Multiplication-Free Highly-Selective FIR Half-Band Decimators and Interpolators. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Chih-Peng Fan Cost-Effective Hardware Sharing Architectures of Fast 8×8 and 4×4 Integer Transforms for H.264/AVC. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Håkan Johansson, Oscar Gustafsson, J. Johansson, Lars Wanhammar Adjustable Fractional-Delay FIR Filters Using the Farrow Structure and Multirate Techniques. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Basant K. Mohanty, Pramod Kumar Meher Merged-Cascaded Systolic Array for VLSI Implementation of Discrete Wavelet Transform. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Su-Hon Lin, Ming-Hwa Sheu, Jing-Shiun Lin, Wen-Tsai Sheu Efficient VLSI Design for RNS Reverse Converter Based on New Moduli Set (2n-1, 2n+1, 22n+1). Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Basant K. Mohanty, Pramod Kumar Meher VLSI Architecture for High-Speed / Low-Power Implementation of Multilevel Lifting DWT. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Chih-Peng Fan, Mau-Shih Lee, Guo-An Su A Low Multiplier and Multiplication Costs 256-point FFT Implementation with Simplified Radix-24 SDF Architecture. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9A. Prasad Vinod 0001, Chip-Hong Chang, Pramod Kumar Meher, Ankita Singla Low Power FIR Filter Realization using Minimal Difference Coefficients: Part I - Complexity Analysis. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Jiajia Chen 0002, Chip-Hong Chang, A. Prasad Vinod 0001 Design of High-speed, Low-power FIR Filters with Fine-grained Cost Metrics. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Ajay Kumar Verma, Paolo Ienne Towards the automatic exploration of arithmetic-circuit architectures. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Daniel Larkin, Andrew Kinane, Noel E. O'Connor Towards Hardware Acceleration of Neuroevolution for Multimedia Processing Applications on Mobile Devices. Search on Bibsonomy ICONIP (3) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Ahmad A. Hiasat VLSI implementation of new arithmetic residue to binary decoders. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Kwen-Siong Chong, Bah-Hwee Gwee, Joseph Sylvester Chang A micropower low-voltage multiplier with reduced spurious switching. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Koushik Maharatna, Swapna Banerjee, Eckhard Grass, Milos Krstic, Alfonso Troya Modified virtually scaling-free adaptive CORDIC rotator algorithm and architecture. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Hun-Chen Chen, Jiun-In Guo, Tian-Sheuan Chang, Chein-Wei Jen A memory-efficient realization of cyclic convolution and its application to discrete cosine transform. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Saraju P. Mohanty, N. Ranganathan Energy-efficient datapath scheduling using multiple voltages and dynamic clocking. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF dynamic frequency clocking, low-power datapath synthesis, multiple voltage scheduling, time-constrained scheduling, High-level synthesis, resource-constrained scheduling
9Ahmad A. Al-Yamani, Edward J. McCluskey Test chip experimental results on high-level structural test. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF test experiment, Structural test, VLSI test, complex gates
9Gustavo A. Ruiz, Juan A. Michell, Angel M. Burón Parallel-pipeline 8×8 forward 2-D ICT processor chip for image coding. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Phakphoom Boonyanant, Sawasd Tantaratana Design and hybrid realization of FIR Nyquist filters with quantized coefficients and low sensitivity to timing jitter. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Radu Zlatanovici, Borivoje Nikolic Power - Performance Optimization for Custom Digital Circuits. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Ming Z. Zhang, Hau T. Ngo, Adam R. Livingston, Vijayan K. Asari An Efficient VLSI Architecture for 2-D Convolution with Quadrant Symmetric Kernels. Search on Bibsonomy ISVLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF 2-D convolution, symmetric kernel, pipelined architecture, systolic architecture
9Ling Zhuo, Viktor K. Prasanna Sparse Matrix-Vector multiplication on FPGAs. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF FPGA, reconfigurable architecture, high performance, floating-point, sparse matrix
9Suleyman Tosun, Nazanin Mansouri, Ercument Arvas, Mahmut T. Kandemir, Yuan Xie 0001 Reliability-Centric High-Level Synthesis. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Jo C. Ebergen, Jonathan Gainsley, Jon K. Lexau, Ivan E. Sutherland GasP Control for Domino Circuits. Search on Bibsonomy ASYNC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Tongquan Wei, Kaijie Wu 0001, Ramesh Karri, Alex Orailoglu Fault tolerant quantum cellular array (QCA) design using Triple Modular Redundancy with shifted operands. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Mateus Fonseca, Eduardo A. C. da Costa, Sergio Bampi, José Monteiro 0001 Design of a radix-2m hybrid array multiplier using carry save adder format. Search on Bibsonomy SBCCI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF hybrid multiplier, low power, carry save adder
9Khan Wahid, Vassil S. Dimitrov, Graham A. Jullien Error-Free Computation of 8x8 2-D DCT and IDCT Using Two-Dimensional Algebraic Integer Quantization. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Masaharu Imai, Akira Kitajima Verification Challenges in Configurable Processor Design with ASIP Meister. Search on Bibsonomy CHARME The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Juha Yli-Kaakinen, Tapio Saramäki Design and implementation of multiplierless adjustable fractional-delay all-pass filters. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Li-Hsun Chen, Oscal T.-C. Chen, Teng-Yi Wang, Yung-Cheng Ma A multiplication-accumulation computation unit with optimized compressors and minimized switching activities. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Bin Cao, Chip-Hong Chang, Thambipillai Srikanthan A new formulation of fast diminished-one multioperand modulo 2n/+1 adder. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
Displaying result #901 - #1000 of 1191 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license