|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 9462 occurrences of 2787 keywords
|
|
|
Results
Found 15666 publication records. Showing 15666 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
27 | Umut A. Acar, Guy E. Blelloch, Robert D. Blumofe |
The data locality of work stealing. |
SPAA |
2000 |
DBLP DOI BibTeX RDF |
|
27 | Jean-Marc Menaud, Valérie Issarny, Michel Banâtre |
Improving the Effectiveness of Web Caching. |
Advances in Distributed Systems |
1999 |
DBLP DOI BibTeX RDF |
|
27 | Charles E. Leiserson |
Design and Analysis of Algorithms for Shared-Memory Multiprocessors (Abstract). |
WADS |
1999 |
DBLP DOI BibTeX RDF |
|
27 | Kun-Lung Wu, Philip S. Yu |
Load Balancing and Hot Spot Relief for Hash Routing among a Collection of Proxy Caches. |
ICDCS |
1999 |
DBLP DOI BibTeX RDF |
adaptable controlled replication, hot spot relief, CARP, hash routing, load balancing |
27 | Shlomit S. Pinter, Adi Yoaz |
Tango: A Hardware-Based Data Prefetching Technique for Superscalar Processors. |
MICRO |
1996 |
DBLP DOI BibTeX RDF |
LRU mechanism, SPEC92 benchmark, Tango, base line architecture, hardware-based data prefetching technique, memory reference instructions, program progress graph, performance, parallel processing, instruction level parallelism, simulation results, superscalar processors, branch target buffer, instruction prefetching, hardware resources, slack time |
27 | Jim Pierce, Trevor N. Mudge |
Wrong-path Instruction Prefetching. |
MICRO |
1996 |
DBLP DOI BibTeX RDF |
|
27 | Yiming Hu, Qing Yang 0001 |
DCD - Disk Caching Disk: A New Approach for Boosting I/O Performance. |
ISCA |
1996 |
DBLP DOI BibTeX RDF |
|
27 | Richard E. Kessler, Mark D. Hill |
Page Placement Algorithms for Large Real-Indexed Caches. |
ACM Trans. Comput. Syst. |
1992 |
DBLP DOI BibTeX RDF |
|
27 | Daniel Grund, Jan Reineke 0001 |
Abstract Interpretation of FIFO Replacement. |
SAS |
2009 |
DBLP DOI BibTeX RDF |
FIFO Replacement, Domain Cooperation, May/Must Reasoning, Cache Analysis |
27 | Jie Tao 0001, Dominic Hillenbrand, Holger Marten |
Instruction Hints for Super Efficient Data Caches. |
ICCS (2) |
2009 |
DBLP DOI BibTeX RDF |
simulation, architecture design, Cache optimization |
27 | Yoonjin Kim, Rabi N. Mahapatra |
Dynamic context management for low power coarse-grained reconfigurable architecture. |
ACM Great Lakes Symposium on VLSI |
2009 |
DBLP DOI BibTeX RDF |
context word, embedded systems, system-on-chip (soc), digital signal processing, coarse-grained reconfigurable architecture, configuration cache |
27 | Yuejian Xie, Gabriel H. Loh |
PIPP: promotion/insertion pseudo-partitioning of multi-core shared caches. |
ISCA |
2009 |
DBLP DOI BibTeX RDF |
cache, multi-core, sharing, contention, insertion, promotion |
27 | Kostas Lillis, Evaggelia Pitoura |
Cooperative XPath caching. |
SIGMOD Conference |
2008 |
DBLP DOI BibTeX RDF |
cache, peer-to-peer systems, xml |
27 | Onur Aciiçmez, Shay Gueron, Jean-Pierre Seifert |
New Branch Prediction Vulnerabilities in OpenSSL and Necessary Software Countermeasures. |
IMACC |
2007 |
DBLP DOI BibTeX RDF |
branch prediction attacks, cache eviction attacks, Binary Extended Euclidean Algorithm, software mitigation methods, OpenSSL, RSA, Side channel attacks, CRT, modular inversion |
27 | Kuang-Hui Chi, Ji-Han Jiang, Li-Hsing Yen |
Cost-Effective Caching for Mobility Support in IEEE 802.1X Frameworks. |
IEEE Trans. Mob. Comput. |
2006 |
DBLP DOI BibTeX RDF |
independent reference model, Robust Security Network, cache, Wireless Local Area Network, fast handoff |
27 | Jason E. Miller, Anant Agarwal |
Software-based instruction caching for embedded processors. |
ASPLOS |
2006 |
DBLP DOI BibTeX RDF |
instruction cache, chaining, software caching |
27 | Erik Berg, Erik Hagersten |
Fast data-locality profiling of native execution. |
SIGMETRICS |
2005 |
DBLP DOI BibTeX RDF |
cache behavior, profiling tool |
27 | Ali-Reza Adl-Tabatabai, Richard L. Hudson, Mauricio J. Serrano, Sreenivas Subramoney |
Prefetch inection based on hardware monitoring and object metadata. |
PLDI |
2004 |
DBLP DOI BibTeX RDF |
virtual machines, garbage collection, prefetching, compiler optimization, cache misses, profile-guided optimization |
27 | Kun Zhang 0006, Tao Zhang 0037, Santosh Pande |
Binary translation to improve energy efficiency through post-pass register re-allocation. |
EMSOFT |
2004 |
DBLP DOI BibTeX RDF |
cache power consumption, dead registers, register re-allocation, unused registers |
27 | Xianfeng Li, Hemendra Singh Negi, Tulika Mitra, Abhik Roychoudhury |
Design space exploration of caches using compressed traces. |
ICS |
2004 |
DBLP DOI BibTeX RDF |
compressed trace, single pass simulation, cache, design space exploration |
27 | Tiecheng Gu, Baoliu Ye, Minyi Guo, Daoxu Chen |
Implementing Cooperative Caching in Distributed Streaming Media Server Clusters. |
EUC |
2004 |
DBLP DOI BibTeX RDF |
Distributed streaming server cluster, Cache hit rate, Multimedia, Cooperative caching |
27 | Roberto Beraldi, Roberto Baldoni |
A Caching Scheme for Routing in Mobile Ad Hoc Networks and Its Application to ZRP. |
IEEE Trans. Computers |
2003 |
DBLP DOI BibTeX RDF |
simulation, MANET, cache, routing protocol, ZRP |
27 | Patcharee Basu, Kanchana Kanchanasut |
A Multicast Push Caching System over a UDLR Satellite Link. |
SAINT Workshops |
2003 |
DBLP DOI BibTeX RDF |
Unidirectional link routing protocol, Reliable multicast, Cooperative cache, Push technology |
27 | David Wonnacott |
Using Time Skewing to Eliminate Idle Time due to Memory Bandwidth and Network Limitations. |
IPDPS |
2000 |
DBLP DOI BibTeX RDF |
compute balance, machine balance, scalable locality, scalable parallelism, cache optimization, loop tiling |
27 | Julio Sahuquillo, Ana Pont |
Designing Competitive Coherence Protocols Taking Advantage of Reuse Information. |
EUROMICRO |
2000 |
DBLP DOI BibTeX RDF |
split data cache, reuse information, competitive protocol, performance evaluation, multiprocessor systems, data locality |
27 | Donglai Dai, Dhabaleswar K. Panda 0001 |
Exploiting the Benefits of Multiple-Path Network DSM Systems: Architectural Alternatives and Performance Evaluation. |
IEEE Trans. Computers |
1999 |
DBLP DOI BibTeX RDF |
directory-based protocols and cache coherence, interconnection networks, Parallel architecture, performance modeling, network interface, distributed shared-memory systems |
27 | Alexander Thomasian, Jai Menon 0001 |
RAID5 Performance with Distributed Sparing. |
IEEE Trans. Parallel Distributed Syst. |
1997 |
DBLP DOI BibTeX RDF |
RAID5 disk arrays, dedicated sparing, distributed sparing, operation in degraded mode, rebuild processing, striping unit, small-write syndrome, nonvolatile storage, fast writes, disk zoning, fork-join synchronization, vacationing server model, disk response time, rebuild time, nonpreemptive and preemptive priority queuing, fault-tolerance, performance analysis, queuing theory, M/G/1 queues, disk cache, disk failures |
27 | Stephen J. Walsh, John A. Board |
Pollution control caching. |
ICCD |
1995 |
DBLP DOI BibTeX RDF |
pollution control caching, high speed processors, bandwidth mismatch, standard DRAMS, on-chip caches, miss ratio statistics, expected clock cycles per instruction, main memory latencies, PCC+VB, discrete event simulation, memory architecture, trace driven simulation, cache storage, memory performance, ANOVA, DRAM chips |
27 | Yoji Yamada, John C. Gyllenhaal, Grant E. Haab, Wen-mei W. Hwu |
Data relocation and prefetching for programs with large data sets. |
MICRO |
1994 |
DBLP DOI BibTeX RDF |
data copying, data relocation, program optimization, software prefetching, cache conflicts |
27 | Olivier Temam, Yvon Jégou |
Using virtual lines to enhance locality exploitation. |
International Conference on Supercomputing |
1994 |
DBLP DOI BibTeX RDF |
memory hierarchy, temporal locality, spatial locality, cache architecture, numerical codes |
27 | Stephen Roderick Hines, Yuval Peress, Peter Gavin, David B. Whalley, Gary S. Tyson |
Guaranteeing instruction fetch behavior with a lookahead instruction fetch engine (LIFE). |
LCTES |
2009 |
DBLP DOI BibTeX RDF |
l0/filter cache, lookahead instruction fetch engine (life), tagless hit instruction cache (th-ic) |
27 | Jiang Lin, Hongzhong Zheng, Zhichun Zhu, Zhao Zhang 0010, Howard David |
DRAM-Level Prefetching for Fully-Buffered DIMM: Design, Performance and Power Saving. |
ISPASS |
2007 |
DBLP DOI BibTeX RDF |
channel bandwidth utilization, DRAM-level prefetching, dynamic random access memory, fully-buffered DIMM, dual in-line memory module, redundant bandwidth, memory block, L2 cache block, DRAM power consumption, SPEC2000 program, software cache prefetching, idle memory latency, power saving, multicore processor, memory controller, interconnect structure, DRAM chip |
27 | Ahmad Zmily, Christos Kozyrakis |
A low power front-end for embedded processors using a block-aware instruction set. |
CASES |
2007 |
DBLP DOI BibTeX RDF |
instruction re-ordering, low power front-end, software hints, tagless instruction cache, unified instruction cache and BTB, instruction prefetching |
27 | Hong-Koo Kang, Joung-Joon Kim, Dong-Oh Kim, Ki-Joon Han |
An Extended R-Tree Indexing Method Using Selective Prefetching in Main Memory. |
International Conference on Computational Science (1) |
2007 |
DBLP DOI BibTeX RDF |
SPR-Tree, Extended R-Tree, Cache Performance, Cache Miss, Main Memory |
27 | Ken W. Batcher, Robert A. Walker 0001 |
Cluster miss prediction with prefetch on miss for embedded CPU instruction caches. |
CASES |
2004 |
DBLP DOI BibTeX RDF |
hiding memory latency, embedded systems, networking, WCET, cache design, cache prefetch |
27 | Beng-Hong Lim, Philip Heidelberger, Pratap Pattnaik, Marc Snir |
Message Proxies for Efficient, Protected Communication on SMP Clusters. |
HPCA |
1997 |
DBLP DOI BibTeX RDF |
message proxies, protected communication, custom hardware, IBM Model G30 SMPs, cache-miss latency, cache-update mechanism, performance model, multiprocessing systems, symmetric multiprocessor clusters |
27 | Rong-Yuh Hwang |
An Efficient Technique of Instruction Scheduling on a Superscalar-Based Mulprocessor. |
IPPS |
1997 |
DBLP DOI BibTeX RDF |
FLASH multiprocessor, block data transfer, multiple communication protocols, embedded protocol processor, protocol, shared memory, prefetching, cache storage, FLASH, cache coherence protocol, multiprocessor architecture, MAGIC |
27 | John Heinlein, Kourosh Gharachorloo, Robert P. Bosch Jr., Mendel Rosenblum, Anoop Gupta |
Coherent Block Data Transfer in the FLASH Multiprocessor. |
IPPS |
1997 |
DBLP DOI BibTeX RDF |
FLASH multiprocessor, block data transfer, multiple communication protocols, embedded protocol processor, protocol, shared memory, prefetching, cache storage, FLASH, cache coherence protocol, multiprocessor architecture, MAGIC |
27 | Sung-Kwan Kim, Sang Lyul Min, Rhan Ha |
Efficient worst case timing analysis of data caching. |
IEEE Real Time Technology and Applications Symposium |
1996 |
DBLP DOI BibTeX RDF |
efficient worst case timing analysis, accurate timing analysis, pipelined execution, multiple memory locations, pointer based references, dynamic load/store instructions, WCET overestimation, global data flow analysis, benchmark programs, real-time systems, computational complexity, data caching, cache storage, instruction sets, reduced instruction set computing, data dependence analysis, cache block |
27 | Robert Yung, Neil C. Wilhelm |
Caching processor general registers. |
ICCD |
1995 |
DBLP DOI BibTeX RDF |
caching processor general registers, processor cycle time requirements, small register cache, register caching, windowed-register architectures, parallel architectures, performance model, memory architecture, cache storage, register file |
27 | Chris Wilkerson, Alaa R. Alameldeen, Zeshan Chishti, Wei Wu 0024, Dinesh Somasekhar, Shih-Lien Lu |
Reducing cache power with low-cost, multi-bit error-correcting codes. |
ISCA |
2010 |
DBLP DOI BibTeX RDF |
ecc, edram, idle power, idle states, multi-bit ecc, refresh power, vccmin, dram |
27 | Cheng-Kok Koh, Weng-Fai Wong, Yiran Chen 0001, Hai Li 0001 |
Tolerating process variations in large, set-associative caches: The buddy cache. |
ACM Trans. Archit. Code Optim. |
2009 |
DBLP DOI BibTeX RDF |
caches, Processor architectures, fault recovery, memory structures |
27 | In Hwan Doh, Hyo J. Lee, Young Je Moon, Eunsam Kim, Jongmoo Choi, Donghee Lee 0001, Sam H. Noh |
Impact of NVRAM write cache for file system metadata on I/O performance in embedded systems. |
SAC |
2009 |
DBLP DOI BibTeX RDF |
Non-Volatile RAM (NVRAM), metadata, file system, flash memory, flash translation layer (FTL) |
27 | Mahmut T. Kandemir, Sai Prashanth Muralidhara, Sri Hari Krishna Narayanan, Yuanrui Zhang, Ozcan Ozturk 0001 |
Optimizing shared cache behavior of chip multiprocessors. |
MICRO |
2009 |
DBLP DOI BibTeX RDF |
|
27 | Jaideep Moses, Konstantinos Aisopos, Aamer Jaleel, Ravi R. Iyer 0001, Ramesh Illikkal, Donald Newell, Srihari Makineni |
CMPSched$im: Evaluating OS/CMP interaction on shared cache management. |
ISPASS |
2009 |
DBLP DOI BibTeX RDF |
|
27 | Arun Kejariwal, Alexandru Nicolau, Utpal Banerjee, Alexander V. Veidenbaum, Constantine D. Polychronopoulos |
Cache-aware partitioning of multi-dimensional iteration spaces. |
SYSTOR |
2009 |
DBLP DOI BibTeX RDF |
partitioning, parallel loops, iteration space |
27 | Gregor Leander, Erik Zenner, Philip Hawkes |
Cache Timing Analysis of LFSR-Based Stream Ciphers. |
IMACC |
2009 |
DBLP DOI BibTeX RDF |
|
27 | Weixun Wang, Prabhat Mishra 0001, Ann Gordon-Ross |
SACR: Scheduling-Aware Cache Reconfiguration for Real-Time Embedded Systems. |
VLSI Design |
2009 |
DBLP DOI BibTeX RDF |
|
27 | Yuh-Fang Tsai, Feng Wang 0004, Yuan Xie 0001, Narayanan Vijaykrishnan, Mary Jane Irwin |
Design Space Exploration for 3-D Cache. |
IEEE Trans. Very Large Scale Integr. Syst. |
2008 |
DBLP DOI BibTeX RDF |
|
27 | Yifeng Zhu, Hong Jiang 0001 |
RACE: A Robust Adaptive Caching Strategy for Buffer Cache. |
IEEE Trans. Computers |
2008 |
DBLP DOI BibTeX RDF |
Buffering, Main memory, Input/output |
27 | Noel Eisley, Li-Shiuan Peh, Li Shang |
Leveraging on-chip networks for data cache migration in chip multiprocessors. |
PACT |
2008 |
DBLP DOI BibTeX RDF |
network-driven computing, interconnection network, CMP, chip-multiprocessor, migration |
27 | Marios Kleanthous, Yiannakis Sazeides |
CATCH: A Mechanism for Dynamically Detecting Cache-Content-Duplication and its Application to Instruction Caches. |
DATE |
2008 |
DBLP DOI BibTeX RDF |
|
27 | Nidhi Aggarwal, James E. Smith 0001, Kewal K. Saluja, Norman P. Jouppi, Parthasarathy Ranganathan |
Implementing high availability memory with a duplication cache. |
MICRO |
2008 |
DBLP DOI BibTeX RDF |
|
27 | Wanxia Qu, Yang Guo 0003, Zhengbin Pang, Xiaodong Yang |
Efficient Verification of Parameterized Cache Coherence Protocols. |
ICYCS |
2008 |
DBLP DOI BibTeX RDF |
|
27 | Hongbo Zeng, Jun Wang, Ge Zhang 0007, Weiwu Hu |
An interconnect-aware power efficient cache coherence protocol for CMPs. |
IPDPS |
2008 |
DBLP DOI BibTeX RDF |
|
27 | Hyotaek Shim, Jaegeuk Kim, Dawoon Jung 0001, Jin-Soo Kim 0001, Seungryoul Maeng |
RMA: A Read Miss-Based Spin-Down Algorithm using an NV cache. |
ICCD |
2008 |
DBLP DOI BibTeX RDF |
|
27 | Jason Zebchuk, Srihari Makineni, Donald Newell |
Re-examining cache replacement policies. |
ICCD |
2008 |
DBLP DOI BibTeX RDF |
|
27 | Xiaoming Gu, Tongxin Bai, Yaoqing Gao, Chengliang Zhang, Roch Archambault, Chen Ding 0001 |
P-OPT: Program-Directed Optimal Cache Management. |
LCPC |
2008 |
DBLP DOI BibTeX RDF |
|
27 | Padma Apparao, Ravi R. Iyer 0001, Donald Newell |
Implications of cache asymmetry on server consolidation performance. |
IISWC |
2008 |
DBLP DOI BibTeX RDF |
|
27 | Hongbin Sun 0001, Nanning Zheng 0001, Tong Zhang 0002 |
Realization of L2 Cache Defect Tolerance Using Multi-bit ECC. |
DFT |
2008 |
DBLP DOI BibTeX RDF |
|
27 | T. S. Rajesh Kumar, C. P. Ravikumar, R. Govindarajan |
Memory Architecture Exploration Framework for Cache Based Embedded SOC. |
VLSI Design |
2008 |
DBLP DOI BibTeX RDF |
|
27 | Subhradyuti Sarkar, Dean M. Tullsen |
Compiler Techniques for Reducing Data Cache Miss Rate on a Multithreaded Architecture. |
HiPEAC |
2008 |
DBLP DOI BibTeX RDF |
|
27 | Anca Mariana Molnos, Sorin Dan Cotofana, Marc J. M. Heijligers, Jos T. J. van Eijndhoven |
Static Cache Partitioning Robustness Analysis for Embedded On-Chip Multi-processors. |
Trans. High Perform. Embed. Archit. Compil. |
2007 |
DBLP DOI BibTeX RDF |
|
27 | Miquel Moretó, Francisco J. Cazorla, Alex Ramírez, Mateo Valero |
Online Prediction of Applications Cache Utility. |
ICSAMOS |
2007 |
DBLP DOI BibTeX RDF |
|
27 | Shahram Ghandeharizadeh, Shahin Shayandeh |
Greedy Cache Management Techniques for Mobile Devices. |
ICDE Workshops |
2007 |
DBLP DOI BibTeX RDF |
|
27 | Jizhong Zhao, Min Xi, Yong Qi |
Cache Predicting Algorithm Based on Context-Aware in Pervasive Computing. |
ACIS-ICIS |
2007 |
DBLP DOI BibTeX RDF |
|
27 | Stephen Hines, David B. Whalley, Gary S. Tyson |
Guaranteeing Hits to Improve the Efficiency of a Small Instruction Cache. |
MICRO |
2007 |
DBLP DOI BibTeX RDF |
|
27 | Jie Tao 0001, Tobias Gaugler, Wolfgang Karl |
A Profiling Tool for Detecting Cache-Critical Data Structures. |
Euro-Par |
2007 |
DBLP DOI BibTeX RDF |
|
27 | Akira Yamawaki 0002, Masahiko Iwane |
An FPGA implementation of a snoop cache with synchronization for a multiprocessor system-on-chip. |
ICPADS |
2007 |
DBLP DOI BibTeX RDF |
|
27 | Weng-Fai Wong, Cheng-Kok Koh, Yiran Chen 0001, Hai Li 0001 |
VOSCH: Voltage scaled cache hierarchies. |
ICCD |
2007 |
DBLP DOI BibTeX RDF |
|
27 | Abel G. Silva-Filho, Carmelo J. A. Bastos Filho, Ricardo Massa Ferreira Lima, Davi M. A. Falcão, Filipe R. Cordeiro, Marília P. Lima |
An Intelligent Mechanism to Explore a Two-Level Cache Hierarchy Considering Energy Consumption and Time Performance. |
SBAC-PAD |
2007 |
DBLP DOI BibTeX RDF |
|
27 | Weiping He, Ing-Ray Chen, Baoshan Gu |
A Proxy-Based Integrated Cache Consistency and Mobility Management Scheme for Mobile IP Systems. |
AINA |
2007 |
DBLP DOI BibTeX RDF |
|
27 | Raimund Kirner, Martin Schoeberl |
Modeling the Function Cache for Worst-Case Execution Time Analysis. |
DAC |
2007 |
DBLP DOI BibTeX RDF |
|
27 | Zhijun Wang 0001, Mohan Kumar, Sajal K. Das 0001, Huaping Shen |
Dynamic cache consistency schemes for wireless cellular networks. |
IEEE Trans. Wirel. Commun. |
2006 |
DBLP DOI BibTeX RDF |
|
27 | Shuai Wang 0006, Jie S. Hu, Sotirios G. Ziavras |
On the Characterization of Data Cache Vulnerability in High-Performance Embedded Microprocessors. |
ICSAMOS |
2006 |
DBLP DOI BibTeX RDF |
|
27 | Jan Staschulat, Rolf Ernst |
Worst case timing analysis of input dependent data cache behavior. |
ECRTS |
2006 |
DBLP DOI BibTeX RDF |
|
27 | Kugan Vivekanandarajah, Thambipillai Srikanthan, Christopher T. Clarke |
Profile Directed Instruction Cache Tuning for Embedded Systems. |
ISVLSI |
2006 |
DBLP DOI BibTeX RDF |
|
27 | Alokika Dash, Peter Petrov |
Energy-Efficient Cache Coherence for Embedded Multi-Processor Systems through Application-Driven Snoop Filtering. |
DSD |
2006 |
DBLP DOI BibTeX RDF |
|
27 | Ranjith Subramanian, Yannis Smaragdakis, Gabriel H. Loh |
Adaptive Caches: Effective Shaping of Cache Behavior to Workloads. |
MICRO |
2006 |
DBLP DOI BibTeX RDF |
|
27 | Shiwen Hu, Lizy Kurian John |
Avoiding store misses to fully modified cache blocks. |
IPCCC |
2006 |
DBLP DOI BibTeX RDF |
|
27 | Jie Tao 0001, Wolfgang Karl |
Supporting Cache Locality Optimization with a Toolset. |
Euro-Par |
2006 |
DBLP DOI BibTeX RDF |
|
27 | Ken C. K. Lee, Wang-Chien Lee, Julian Winter, Baihua Zheng, Jianliang Xu |
CS cache engine: data access accelerator for location-based service in mobile environments. |
SIGMOD Conference |
2006 |
DBLP DOI BibTeX RDF |
|
27 | Yunhe Shi, Emre Özer 0001, David Gregg |
Low-Cost Microarchitectural Techniques for Enhancing the Prediction of Return Addresses on High-Performance Trace Cache Processors. |
ISCIS |
2006 |
DBLP DOI BibTeX RDF |
|
27 | Domingo Benitez, Juan C. Moure, Dolores Rexachs, Emilio Luque |
A Reconfigurable Data Cache for Adaptive Processors. |
ARC |
2006 |
DBLP DOI BibTeX RDF |
|
27 | Abel Guilhermino Silva-Filho, Pablo Viana, Edna Barros, Manoel Eusébio de Lima |
Tuning Mechanism for Two-Level Cache Hierarchy Intended for Instruction Caches and Low Energy Consumption. |
SBAC-PAD |
2006 |
DBLP DOI BibTeX RDF |
|
27 | Jianpei Zhang, Yan Chu 0001, Jing Yang 0010 |
A Category on the Cache Invalidation for Wireless Mobile Environments. |
APWeb Workshops |
2006 |
DBLP DOI BibTeX RDF |
|
27 | Andreas Moshovos, Babak Falsafi, Farid N. Najm, Navid Azizi |
A Case for Asymmetric-Cell Cache Memories. |
IEEE Trans. Very Large Scale Integr. Syst. |
2005 |
DBLP DOI BibTeX RDF |
|
27 | Enric Gibert, F. Jesús Sánchez, Antonio González 0001 |
Distributed Data Cache Designs for Clustered VLIW Processors. |
IEEE Trans. Computers |
2005 |
DBLP DOI BibTeX RDF |
design styles, Single data stream architectures |
27 | Vijay Shivshanker Gupta |
Trust and accountability issues in scalable invalidation-based web cache consistency. |
ACM SIGOPS Oper. Syst. Rev. |
2005 |
DBLP DOI BibTeX RDF |
|
27 | Ekow J. Otoo, Doron Rotem, Arie Shoshani |
Impact of Admission and Cache Replacement Policies on Response Times of Jobs on Data Grids. |
Clust. Comput. |
2005 |
DBLP DOI BibTeX RDF |
caching, data grid, job scheduling, storage resource manager |
27 | Sung-Eui Yoon, Peter Lindstrom 0001, Valerio Pascucci, Dinesh Manocha |
Cache-oblivious mesh layouts. |
ACM Trans. Graph. |
2005 |
DBLP DOI BibTeX RDF |
|
27 | Bingsheng He, Qiong Luo 0001, Byron Choi |
Cache-Conscious Automata for XML Filtering. |
ICDE |
2005 |
DBLP DOI BibTeX RDF |
|
27 | Daeil Park, Motomichi Toyama |
XML Cache Management Based On XPath Containment Relationship. |
ICDE Workshops |
2005 |
DBLP DOI BibTeX RDF |
|
27 | Pedro Trancoso |
Dynamic Split: Flexible Border Between Instruction and Data Cache. |
DSD |
2005 |
DBLP DOI BibTeX RDF |
|
27 | Yulin Wang, Guangjun Li, Shuisheng Lin, Xiaojun Wu |
A write-prior partitioning LRU algorithm for the multi-port cache in disk arrays. |
CIT |
2005 |
DBLP DOI BibTeX RDF |
|
27 | Arash Farzan, Paolo Ferragina, Gianni Franceschini, J. Ian Munro |
Cache-Oblivious Comparison-Based Algorithms on Multisets. |
ESA |
2005 |
DBLP DOI BibTeX RDF |
|
27 | Pradeep Nalabalapu, Ron Sass |
Bandwidth Management with a Reconfigurable Data Cache. |
IPDPS |
2005 |
DBLP DOI BibTeX RDF |
|
27 | Jianyu Cai, Yan Jia 0001, Shuqiang Yang, Peng Zou |
A Method of Aggregate Query Matching in Semantic Cache for Massive Database Applications. |
APPT |
2005 |
DBLP DOI BibTeX RDF |
|
27 | Hamid R. Zarandi, Seyed Ghassem Miremadi |
Soft Error Mitigation in Cache Memories of Embedded Systems by Means of a Protected Scheme. |
LADC |
2005 |
DBLP DOI BibTeX RDF |
|
27 | Weifeng Zhang 0001, Baowen Xu, Guoqiang Zhou |
User's Rough Set Based Fuzzy Interest Model in Mining WWW Cache. |
ISPA Workshops |
2005 |
DBLP DOI BibTeX RDF |
Internet, WWW, Rough Set, Fuzzy Set, Interest Model |
|
|