The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase co-synthesis (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1949-1958 (15) 1959-1961 (18) 1962-1963 (24) 1964-1965 (43) 1966-1967 (38) 1968 (33) 1969 (18) 1970 (19) 1971 (16) 1972 (15) 1973 (16) 1974 (29) 1975 (38) 1976 (40) 1977 (43) 1978 (64) 1979 (49) 1980 (42) 1981 (50) 1982 (58) 1983 (51) 1984 (66) 1985 (116) 1986 (131) 1987 (151) 1988 (175) 1989 (261) 1990 (385) 1991 (343) 1992 (364) 1993 (419) 1994 (670) 1995 (556) 1996 (563) 1997 (638) 1998 (810) 1999 (798) 2000 (905) 2001 (872) 2002 (1042) 2003 (1127) 2004 (1330) 2005 (1419) 2006 (1557) 2007 (1634) 2008 (1728) 2009 (1274) 2010 (1485) 2011 (1092) 2012 (1265) 2013 (1428) 2014 (1465) 2015 (1315) 2016 (1581) 2017 (1534) 2018 (1743) 2019 (1994) 2020 (2041) 2021 (2333) 2022 (2508) 2023 (2800) 2024 (641)
Publication types (Num. hits)
article(15949) book(72) data(11) incollection(258) inproceedings(27856) phdthesis(975) proceedings(157)
Venues (Conferences, Journals, ...)
CoRR(4238) ICASSP(818) INTERSPEECH(809) CODES+ISSS(775) ALIFE(711) DAC(700) IEEE Trans. Comput. Aided Des....(668) CASES(604) LOPSTR(567) SSW(528) ICCAD(473) DATE(457) ICMC(455) CDC(419) SMACD(397) ACC(343) More (+10 of total 4355)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 14306 occurrences of 4820 keywords

Results
Found 45278 publication records. Showing 45278 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
19Pao-Ann Hsiung Formal synthesis and code generation of embedded real-time software. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF embedded real-time software, scheduling, Petri Nets, code generation
19Wen-Nung Lie, Bo-Er Wei Intermediate view synthesis from binocular images for stereoscopic applications. Search on Bibsonomy ISCAS (5) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Sihem Ben Sassi, Rafik Braham, Abdelfattah Belghith Neural Speech Synthesis System for Arabic Language Using CELP Algorithm. Search on Bibsonomy AICCSA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Han Bin Kim, Dong Sam Ha, Takeshi Takahashi 0003, Takahiro J. Yamaguchi A new approach to built-in self-testable datapath synthesis based on integer linear programming. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Adnan Aziz, Felice Balarin, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli Sequential synthesis using S1S. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Giancarlo Calvagno, Gian Antonio Mian, Roberto Rinaldo Synthesis filter bank optimization in two-dimensional separable subband coding systems. Search on Bibsonomy IEEE Trans. Image Process. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Kostas Masselos, S. Theoharis, Panagiotis Merakos, Thanos Stouraitis, Constantinos E. Goutis Low power synthesis of sum-of-products computation (poster session). Search on Bibsonomy ISLPED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Dariusz Kania Decomposition-Based Synthesis and its Application in PAL-Oriented Technology Mapping. Search on Bibsonomy EUROMICRO The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Kia Bazargan, Abhishek Ranjan, Majid Sarrafzadeh Fast and accurate estimation of floorplans in logic/high-level synthesis. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Tomás Bautista, Antonio Núñez Synthesis Experiments and Performance Metrics for Evaluating the Quality of IP Blocks and Megacells. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Victor Kim, Prithviraj Banerjee, Kaushik De Fine-Grained Parallel VLSI Synthesis for Commercial CAD on a Network of Workstations. Search on Bibsonomy ICPP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Kenneth Y. Yun, David L. Dill Automatic synthesis of extended burst-mode circuits. I.(Specification and hazard-free implementations). Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Kamal S. Khouri, Ganesh Lakshminarayana, Niraj K. Jha High-level synthesis of low-power control-flow intensive circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Martin Radetzki, Ansgar Stammermann, Wolfram Putzke-Röming, Wolfgang Nebel Data Type Analysis for Hardware Synthesis from Object-Oriented Models. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Bharat P. Dave CRUSADE: Hardware/Software Co-Synthesis of Dynamically Reconfigurable Heterogeneous Real-Time Distributed Embedded Systems. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Xiaowei Li 0001, Paul Y. S. Cheung Exploiting Test Resource Optimization in Data Path Synthesis for BIST. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Craig A. Lindley, Anne-Marie Vercoustre Generic Viewer Interaction Semantics for Dynamic Virtual Video Synthesis. Search on Bibsonomy VISUAL The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Robert A. Thacker, Wendy Belluomini, Chris J. Myers Timed Circuit Synthesis Using Implicit Methods. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Sandeep Bhatia, Niraj K. Jha Integration of hierarchical test generation with behavioral synthesis of controller and data path circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
19Lisa M. Guerra, Miodrag Potkonjak, Jan M. Rabaey Behavioral-level synthesis of heterogeneous BISR reconfigurable ASIC's. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
19Enric Pastor, Jordi Cortadella, Alex Kondratyev, Oriol Roig Structural methods for the synthesis of speed-independent circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
19Yun-Nan Chang, Ching-Yi Wang, Keshab K. Parhi Heuristic Loop-Based Scheduling and Allocation for DSP Synthesis with Heterogeneous Functional Units. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
19Andrew A. Duncan, David C. Hendry, Peter Gray An Overview of the COBRA-ABS High Level Synthesis System for Multi-FPGA Systems. Search on Bibsonomy FCCM The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
19Unni Narayanan, Peichen Pan, C. L. Liu 0001 Low power logic synthesis under a general delay model. Search on Bibsonomy ISLPED The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
19Lech Józwiak, Niek Ederveen, Adam Postula Solving Synthesis Problems with Genetic Algorithms. Search on Bibsonomy EUROMICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
19Dirk Eisenbiegler, Ramayya Kumar, Christian Blumenröhr A constructive approach towards correctness of synthesis-application within retiming. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
19Shan Lu 0004, Seiji Igi, Hideaki Matsuo, Yuji Nagashima Towards a Dialogue System Based on Recognition and Synthesis of Japanese Sign Language. Search on Bibsonomy Gesture Workshop The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
19Srimat T. Chakradhar, Savita Banerjee, Rabindra K. Roy, Dhiraj K. Pradhan Synthesis of initializable asynchronous circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
19Claudionor José Nunes Coelho Jr., Giovanni De Micheli Analysis and synthesis of concurrent digital circuits using control-flow expressions. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
19Jutta Eusterbrock A Multi-Layer Architecture for Knowledge-Based System Synthesis. Search on Bibsonomy ISMIS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
19Jem Daalder, Peter W. Eklund, Kenji Ohmori High-Level Synthesis Optimization with Genetic Algorithms. Search on Bibsonomy PRICAI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
19Raghava V. Cherabuddi, Jijun Chen, Magdy A. Bayoumi A Graph-Based Approach to the Synthesis of Multi-Chip Module Architectures. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
19Éric Badouel, Luca Bernardinello, Philippe Darondeau Polynomial Algorithms for the Synthesis of Bounded Nets. Search on Bibsonomy TAPSOFT The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
19S. C. Leung, Hon Fung Li A syntax-directed translation for the synthesis of delay-insensitive circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
19Kaushik De, Chitra Natarajan, Devi Nair, Prithviraj Banerjee RSYN: a system for automated synthesis of reliable multilevel circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
19Hong-Shin Jun, Sun-Young Hwang Design of a pipelined datapath synthesis system for digital signal processing. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
19Kaushik De, Balkrishna Ramkumar, Prithviraj Banerjee A portable parallel algorithm for logic synthesis using transduction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
19TingTing Hwang, Robert Michael Owens, Mary Jane Irwin, Kuo-Hua Wang Logic synthesis for field-programmable gate arrays. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
19Said Amellal, Bozena Kaminska Functional synthesis of digital systems with TASS. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
19Claudionor José Nunes Coelho Jr., Giovanni De Micheli Dynamic scheduling and synchronization synthesis of concurrent digital systems under system-level constraints. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
19Miriam Leeser, Richard Chapman 0001, Mark D. Aagaard, Mark H. Linderman, Stephan Meier High level synthesis and generating FPGAs with the BEDROC system. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
19Vijay Nagasamy, Neerav Berry, Carlos Dangelo Specification, Planning, and Synthesis in a VHDL Design Environment. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
19Mark D. Aagaard, Miriam Leeser Verifying a Logic Synthesis Tool in Nuprl: A Case Study in Software Verification. Search on Bibsonomy CAV The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
19Srinivas Devadas, Kurt Keutzer A unified approach to the synthesis of fully testable sequential machines. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
19TingTing Hwang, Robert Michael Owens, Mary Jane Irwin Exploiting communication complexity for multilevel logic synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
19Raul Camposano From Behavior to Structure: High-Level Synthesis. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
19Gregory S. Whitcomb, A. Richard Newton Abstract Data Types and High-Level Synthesis. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
19Henry Massalin, Calton Pu Threads and Input/Output in the Synthesis Kernel. Search on Bibsonomy SOSP The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
19Dorothy E. Setliff, Rob A. Rutenbar ELF: A Tool for Automatic Synthesis of Custom Physical CAD Software. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
19TingTing Hwang, Robert Michael Owens, Mary Jane Irwin Multi-Level Logic Synthesis Using Communication Complexity. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
19O. A. Buset, Mohamed I. Elmasry ACE: A Hierarchical Graphical Interface for Architectual Synthesis. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
19Debasish Banerjee A Methodology for Synthesis of Recursive Functional Programs. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
19T. Shinsha, T. Kubo, Y. Sakataya, J. Koshishita, Koichiro Ishihara Incremental logic synthesis through gate logic structure identification. Search on Bibsonomy DAC The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
18Anna Bernasconi 0001, Valentina Ciriani, Roberto Cordone The optimization of kEP-SOPs: Computational complexity, approximability and experiments. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multilevel logic synthesis, optimization, approximation algorithm, testing, Automatic synthesis
18Wei-Ting Yen, Shih-Hsu Huang, Chun-Hua Cheng Simultaneous Operation Scheduling and Operation Delay Selection to Minimize Cycle-by-Cycle Power Differential. Search on Bibsonomy EUC Workshops The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Cycle-by-cycle Power Differential, Low Power, High-Level Synthesis, Integer Linear Programming, Operation Scheduling, Data-Path Synthesis
18Steve Zelinka, Michael Garland Surfacing by numbers. Search on Bibsonomy Graphics Interface The full citation details ... 2006 DBLP  BibTeX  RDF geometry synthesis, texture synthesis, selection
18Saraju P. Mohanty, N. Ranganathan Energy-efficient datapath scheduling using multiple voltages and dynamic clocking. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF dynamic frequency clocking, low-power datapath synthesis, multiple voltage scheduling, time-constrained scheduling, High-level synthesis, resource-constrained scheduling
18Matthew Stone, Douglas DeCarlo, Insuk Oh, Christian Rodriguez, Adrian Stere, Alyssa Lees, Christoph Bregler Speaking with hands: creating animated conversational characters from recordings of human performance. Search on Bibsonomy ACM Trans. Graph. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF animation, motion capture, speech synthesis, conversational agents, motion synthesis, language generation
18Victor N. Kravets, Prabhakar Kudva Implicit enumeration of structural changes in circuit optimization. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF optimization, decomposition, technology mapping, physical synthesis, re-synthesis
18Jason Cong, Yizhou Lin, Wangning Long SPFD-based global rewiring. Search on Bibsonomy FPGA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF FPGA synthesis, SPFD, SPFD-based global rewiring, logical re-synthesis
18Salil Raje, Reinaldo A. Bergamaschi Generalized resource sharing. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF clique-partitioning-based algorithms, generalized resource sharing, global clique partitioning based framework, interconnect cost estimation, merging cost estimation, sharing possibilities, high level synthesis, high-level synthesis, functional unit, functional units
18Hans-Georg Martin Retiming for Circuits with Enable Registers. Search on Bibsonomy EUROMICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF enable registers, circuit retiming, combinational paths, D-Flipflops, retiming algorithm, sequential elements, high level synthesis, high level synthesis, digital circuits
18Jaswinder Pal Singh, Anshul Kumar, Shashi Kumar A multiplier generator for Xilinx FPGAs. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF multiplier generator, Xilinx FPGAs, LUT based FPGA, sequential designs, combinational designs, pipelined designs, IDEAS synthesis system, XC3000 family, XC4000 family, dedicated carry logic, XACT tool, XBLOX tool, field programmable gate arrays, high level synthesis, sequential circuits, combinational circuits, digital arithmetic, logic CAD, pipeline processing, integrated circuit design, circuit CAD, table lookup, multiplying circuits, module generator, carry logic, multiplier designs
18Craig Farnsworth, David A. Edwards, Jianwei Liu, Shiv S. Sikand A hybrid asynchronous system design environment. Search on Bibsonomy ASYNC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF hybrid asynchronous system design environment, hybrid design scheme, asynchronous circuit synthesis, Tangram silicon complier, synchronous design techniques, concurrency, high level synthesis, asynchronous circuits, power reduction, performance gains, micropipelines
17Matthias Bo Stuart, Mikkel Bystrup Stensgaard, Jens Sparsø Synthesis of topology configurations and deadlock free routing algorithms for ReNoC-based systems-on-chip. Search on Bibsonomy CODES+ISSS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF routing, system-on-chip, mapping, network-on-chip, synthesis, configuration
17Mark Thompson 0001, Hristo Nikolov, Todor P. Stefanov, Andy D. Pimentel, Cagkan Erbas, Simon Polstra, Ed F. Deprettere A framework for rapid system-level exploration, synthesis, and programming of multimedia MP-SoCs. Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF system-level design and synthesis, rapid prototyping, design space exploration
17Jiwon Hahn, Qiang Xie, Pai H. Chou Rappit: framework for synthesis of host-assisted scripting engines for adaptive embedded systems. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF adaptive systems, scripting, software synthesis
17Pao-Ann Hsiung, Cheng-Yi Lin Synthesis of real-time embedded software with local and global deadlines. Search on Bibsonomy CODES+ISSS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF quasi-dynamic scheduling, real-time petri nets, code generation, software synthesis, real-time embedded software
17Luca Benini, Patrick Vuillod, Claudionor José Nunes Coelho Jr., Giovanni De Micheli Synthesis of Low-Power Selectively-Clocked Systems from High-Level Specification. Search on Bibsonomy ISSS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF low power, High level synthesis, finite state machines, gated clocks
17Chun Zhang, Yu Hu 0002, Lingli Wang, Lei He 0001, Jiarong Tong Building a faster boolean matcher using bloom filter. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF FPGA, SAT, bloom filter, boolean matching, re-synthesis
17Robin Bergenthum, Jörg Desel, Sebastian Mauser Comparison of Different Algorithms to Synthesize a Petri Net from a Partial Language. Search on Bibsonomy Trans. Petri Nets Other Model. Concurr. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Partial Order Behavior, Region Theory, Petri Net, Synthesis Algorithm
17Armando Solar-Lezama, Christopher Grant Jones, Rastislav Bodík Sketching concurrent data structures. Search on Bibsonomy PLDI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF concurrency, synthesis, sketching, sat, spin
17Alisa Devlic, Michal Koziuk, Wybe Horsman Synthesizing Context for a Sports Domain on a Mobile Device. Search on Bibsonomy EuroSSC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF context synthesis, context operators, sport scenario, context modeling
17Michael Pucher, Gudrun Schuchmann, Peter Fröhlich 0003 Regionalized Text-to-Speech Systems: Persona Design and Application Scenarios. Search on Bibsonomy COST 2102 School (Vietri) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF sociolect, persona design, speech synthesis, dialect
17Chia-Ming Chang 0002, Shih-Hsu Huang, Yuan-Kai Ho, Jia-Zong Lin, Hsin-Po Wang 0002, Yu-Sheng Lu Type-matching clock tree for zero skew clock gating. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF physical design, gated clock, clock network synthesis
17Tsutomu Sasao, Shinobu Nagayama, Jon T. Butler Numerical Function Generators Using LUT Cascades. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF LUT cascades, numerical function generators (NFGs), nonuniform segmentation, FPGA implementation, automatic synthesis
17Ajay Kumar Verma, Paolo Ienne Improving XOR-Dominated Circuits by Exploiting Dependencies between Operands. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multiplier-like circuits, XOR-dominated circuits, datapath design, netlist optimization, carry-save form, column compressors, XOR operations, logic synthesizers, algebraic factoring, computer arithmetic, logic synthesis, digital design, parallel multipliers
17Rachel Heck, Michael Gleicher Parametric motion graphs. Search on Bibsonomy SI3D The full citation details ... 2007 DBLP  DOI  BibTeX  RDF motion capture, motion synthesis, motion graphs
17Ge Jin, James K. Hahn High-Resolution Video from Series of Still Photographs. Search on Bibsonomy ISVC (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Image Segmentation, Optical Flow, Video Synthesis
17Ronghua Liang, Tinan Huang, Meleagros A. Krokos, Jinglong Fan Visual Perception Modeling for Intelligent Avatars. Search on Bibsonomy ICAT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF sensor perception, motion path synthesis, visual perception, Color model
17Yan-Wen Guo 0001, Xiaodong Xu, Xi Chen, Jin Wang, Qunsheng Peng 0001 Synthesizing Variational Direction and Scale Texture on Planar Region. Search on Bibsonomy PCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF texture direction and scale, Computer graphics, texture synthesis, feature matching
17Shih-Hsu Huang, Chun-Hua Cheng, Yow-Tyng Nieh, Wei-Chieh Yu Register binding for clock period minimization. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF high-level synthesis, clock skew, timing optimization
17Claude Jard, Thierry Jéron TGV: theory, principles and algorithms. Search on Bibsonomy Int. J. Softw. Tools Technol. Transf. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Test generation/synthesis, Model-checking, Protocols, Reactive systems, Conformance testing, Transition systems
17Nadine E. Miner, Thomas P. Caudell Using wavelets to synthesize stochastic-based sounds for immersive virtual environments. Search on Bibsonomy ACM Trans. Appl. Percept. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF audio perception, virtual reality, wavelets, immersive environments, Sound synthesis
17Ismail Assayad, Sergio Yovine Compositional Constraints Generation for Concurrent Real-Time Loops with Interdependent Iterations. Search on Bibsonomy IICS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Execution constraints synthesis, Concurrent loops, Compositionality
17Tianyi Jiang, Xiaoyong Tang, Prithviraj Banerjee Macro-models for high level area and power estimation on FPGAs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF model, FPGA, high-level synthesis, power estimation, RTL, area estimation
17Michael W. Whalen, Johann Schumann, Bernd Fischer 0002 Synthesizing Certified Code. Search on Bibsonomy FME The full citation details ... 2002 DBLP  DOI  BibTeX  RDF automatic program synthesis, code certification, program verification, automated theorem proving, proof-carrying code
17Salim Ouadjaout, Marie-France Albenge, Dominique Houzet VSIA Interface Cosynthesis. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF VSIA, SoC, Co-design, interface synthesis
17Pranav Ashar, Aarti Gupta, Sharad Malik Using complete-1-distinguishability for FSM equivalence checking. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Bisimulation relation, complete-1-distinguishability, finite state machine equivalence, sequential logic synthesis, equivalence checking
17Bernhard K. Aichernig Test-Case Calculation through Abstraction. Search on Bibsonomy FME The full citation details ... 2001 DBLP  DOI  BibTeX  RDF test-case synthesis, abstraction rules, testing, scenarios, contract, refinement calculus
17M. Balakrishnan, Heman Khanna Allocation of FIFO structures in RTL data paths. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF synthesis, RTL, ILP, FIFO, data path
17Colin Potts Requirements Models in Context. Search on Bibsonomy RE The full citation details ... 1997 DBLP  DOI  BibTeX  RDF formal specification, requirements engineering, abstraction, synthesis, requirements models
17Wendy Belluomini, Chris J. Myers Efficient Timing Analysis Algorithms for Timed State Space Exploration. Search on Bibsonomy ASYNC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF timing analysis algorithms, timed state space exploration, timed circuit synthesis, geometric regions, computational complexity, timing, asynchronous circuits, partial orders
17Raghava V. Cherabuddi, Magdy A. Bayoumi, H. Krishnamurthy A low power based system partitioning and binding technique for multi-chip module architectures. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF binding technique, multi-chip module architectures, high-level synthesis framework, inter-chip buses, stochastic evolution based technique, multichip modules, switching activity, MCM, functional units, system partitioning, benchmark designs
17Pranav Ashar, Aarti Gupta, Sharad Malik Using complete-1-distinguishability for FSM equivalence checking. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF sequential logic synthesis and verification, finite state machine equivalence, bisimulation relation, 1-distinguishability, 1-equivalence, formal verification
17Kyosun Kim, Ramesh Karri, Miodrag Potkonjak Heterogeneous built-in resiliency of application specific programmable processors. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF HBIR, ASPP, fault tolerance, synthesis
17Balakrishnan Iyer, Maciej J. Ciesielski Metamorphosis: state assignment by retiming and re-encoding. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Sequential Logic, Finite State Machine, Logic Synthesis, State Assignment, State Encoding
17Pradeep Prabhakaran, Prithviraj Banerjee Parallel Algorithms for Force Directed Scheduling of Flattened and Hierarchical Signal Flow Graphs. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF parallel algorithms, multiprocessors, High-level synthesis, network of workstations, hierarchical graphs, force-directed scheduling
17Tarek Ben Ismail, Mohamed Abid, Ahmed Amine Jerraya COSMOS: a codesign approach for communicating systems. Search on Bibsonomy CODES The full citation details ... 1994 DBLP  DOI  BibTeX  RDF System Design Model, Hardware/Software Codesign, Communication Synthesis
17Mehdi Saeedi, Morteza Saheb Zamani, Mehdi Sedighi Moving forward: A non-search based synthesis method toward efficient CNOT-based quantum circuit synthesis algorithms. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
Displaying result #901 - #1000 of 45278 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license