The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for ALU with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1977-1987 (18) 1988-1991 (15) 1992-1994 (16) 1995-1997 (25) 1998-1999 (16) 2000-2001 (19) 2002-2003 (33) 2004 (22) 2005 (20) 2006 (16) 2007 (16) 2008 (27) 2009 (19) 2010-2011 (19) 2012-2014 (19) 2015-2018 (24) 2019-2020 (26) 2021-2022 (15) 2023-2024 (13)
Publication types (Num. hits)
article(128) inproceedings(248) phdthesis(2)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 246 occurrences of 199 keywords

Results
Found 384 publication records. Showing 378 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
129Paul Metzgen A high performance 32-bit ALU for programmable logic. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF ALU, Apex 20KE, Nios, FPGA, programmable logic, soft processors
117Motokazu Ozawa, Masashi Imai, Hiroshi Nakamura, Takashi Nanya, Yoichiro Ueno Performance Evaluation of Cascade ALU Architecture for Asynchronous Super-Scalar Processors. Search on Bibsonomy ASYNC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
116James Phillips, Stamatis Vassiliadis High-Performance 3-1 Interlock Collapsing ALU's. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF 3-1 interlock collapsing ALU, execution interlocks, multiple instruction issuing machines, parallel architectures, delay, digital arithmetic, CMOS technology, critical path, reduced instruction set computing, Boolean equations
102Steven S. Gorshe, Bella Bose A self-checking ALU design with efficient codes. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF self-checking ALU design, self-testing ALU, VLSI, logic testing, built-in self test, integrated circuit testing, logic design, error detection codes, error detecting codes, integrated logic circuits, unidirectional errors
93Soontae Kim Reducing ALU and Register File Energy by Dynamic Zero Detection. Search on Bibsonomy IPCCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
93R. D. (Shawn) Blanton, John P. Hayes Design of a fast, easily testable ALU. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF ALU, adder design, L-testable design, level-testable, carry-lookahead addition, fault diagnosis, logic testing, integrated circuit testing, automatic testing, digital arithmetic, integrated circuit design, adders, logic arrays, test patterns, area overhead, functional faults, carry logic, arithmetic-logic unit, 8 bit
82Shruti R. Patil, Xiaofeng Yao, Hao Meng, Jianping Wang, David J. Lilja Design of a spintronic arithmetic and logic unit using magnetic tunnel junctions. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF alu design, magnetic tunnel junction, spintronic alu design, spintronics
81Yu Zhou, Hui Guo Application Specific Low Power ALU Design. Search on Bibsonomy EUC (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
80Bhaskar Chatterjee, Manoj Sachdev, Ram Krishnamurthy 0001 A CPL-based dual supply 32-bit ALU for sub 180nm CMOS technologies. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF DSM leakage control and scaling trends, dual supply ALU design, low power techniques
69Ronald D. Blanton, John P. Hayes On the design of fast, easily testable ALU's. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
69Jien-Chung Lo, Suchai Thanawastien, T. R. N. Rao, Michael Nicolaidis An SFS Berger check prediction ALU and its application to self-checking processor designs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
68R. S. Hogg, W. I. Hughes, David W. Lloyd A Novel Asynchronous ALU for Massively Parallel Architectures. Search on Bibsonomy PDP The full citation details ... 1996 DBLP  DOI  BibTeX  RDF novel asynchronous ALU, self timed asynchronous bit serial massively parallel architecture, fixed word length, small magnitude data, self timed communication techniques, self timed single instruction systolic array, ST-SISA, self timed delay insensitive techniques, parallel architectures, systolic arrays, asynchronous circuits, clock skew, massively parallel architectures, clocked control, arithmetic logic unit
68Hiromichi Yamada, Takashi Hotta, Takahiro Nishiyama, Fumio Murabayashi, Tatsumi Yamauchi, Hideo Sawamoto A 13.3ns double-precision floating-point ALU and multiplier. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF double-precision floating-point ALU, floating-point multiplier, carry select addition, prerounding techniques, noise tolerant precharge circuit, two-cycle latency, 13.3 ns, 0.3 micron, 2.5 V, 150 MHz, normalization, floating point arithmetic, CMOS integrated circuits, multiplying circuits, CMOS technology, arithmetic logic unit
62Yves Quentin Origin of the Alu family: a family of Alu-like monomers gave birth to the left and the right arms of the Alu elements. Search on Bibsonomy Nucleic Acids Res. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
57Makoto Okada, Tatsuo Hiramatsu, Hiroshi Nakajima, Makoto Ozone, Katsunori Hirase, Shinji Kimura A Reconfigurable Processor Based on ALU Array Architecture with Limitation on the Interconnection. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
57Steven Hsu, Amit Agarwal 0001, Kaushik Roy 0001, Ram Krishnamurthy 0001, Shekhar Borkar An 8.3GHz dual supply/threshold optimized 32b integer ALU-register file loop in 90nm CMOS. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF dual-Vt/Vcc, flip-flop, hot spot, level converter
57Mark G. Arnold LPVIP: A Low-Power ROM-Less ALU for Low-Precision LNS. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
57Angshuman Parashar, Sudhanva Gurumurthi, Anand Sivasubramaniam A Complexity-Effective Approach to ALU Bandwidth Enhancement for Instruction-Level Temporal Redundancy. Search on Bibsonomy ISCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Complexity-effective design, Temporal Redundancy, Instruction Reuse
57Warren A. Hunt Jr., Bishop Brock The Verification of a Bit-slice ALU. Search on Bibsonomy Hardware Specification, Verification and Synthesis The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
48Muhammad Taha, Tarek Helmy, Reda Abo Alez Agent Based Arabic Language Understanding. Search on Bibsonomy Web Intelligence/IAT Workshops The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
48Brucek Khailany, William J. Dally, Scott Rixner, Ujval J. Kapasi, John D. Owens, Brian Towles Exploring the VLSI Scalability of Stream Processors. Search on Bibsonomy HPCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
47Jong-eun Lee, Kiyoung Choi, Nikil D. Dutt An algorithm for mapping loops onto coarse-grained reconfigurable architectures. Search on Bibsonomy LCTES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF ALU array, memory bandwidth utilization, coarse-grained reconfigurable architecture, mapping algorithm
45Bhaskar Chatterjee, Manoj Sachdev Design of a 1.7-GHz low-power delay-fault-testable 32-b ALU in 180-nm CMOS technology. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
45Francesco Pessolano, R. I. M. P. Meijer A 260ps Quasi-static ALU in 90nm CMOS. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
45Kunihiro Yamada, Yukihisa Naoe, Masanori Kojima, Tadanori Mizuno A New MPEG-2 Solution Using a 2nd ALU in the RISC. Search on Bibsonomy KES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
45Karthikeyan Sankaralingam, Vincent Ajay Singh, Stephen W. Keckler, Doug Burger Routed Inter-ALU Networks for ILP Scalability and Performance. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
44Makoto Ozone, Tatsuo Hiramatsu, Katsunori Hirase, Kazuhisa Iizuka Reconfigurable Processor LSI Based on ALU Array with Limitations of Connections of ALUs for Software Radio. Search on Bibsonomy ITNG The full citation details ... 2009 DBLP  DOI  BibTeX  RDF ALU array, software define radio, data flow graph, reconfigurable processor
44Wenzhe Luo, Graham A. Jullien, Neil M. Wigley, William C. Miller, Zhongde Wang An array processor for inner product computations using a Fermat number ALU. Search on Bibsonomy ASAP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF inner product computations, Fermat number ALU, parallel independent computations, polynomial mapping, computational ring, parallel architectures, residue number systems
42Konstantina Miteloudi, Joppe W. Bos, Olivier Bronchain, Björn Fay, Joost Renes PQ.V.ALU.E: Post-Quantum RISC-V Custom ALU Extensions on Dilithium and Kyber. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2023 DBLP  BibTeX  RDF
42Konstantina Miteloudi, Joppe W. Bos, Olivier Bronchain, Björn Fay, Joost Renes PQ.V.ALU.E: Post-quantum RISC-V Custom ALU Extensions on Dilithium and Kyber. Search on Bibsonomy CARDIS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
36Miroslav N. Velev Automatic Formal Verification of Liveness for Pipelined Processors with Multicycle Functional Units. Search on Bibsonomy CHARME The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
36Hugo de Garis, Thayne Batty, Wang Ce FemtoComputing: New Architectural Ideas for Procedural and Evolutionary Computers Whose Components Switch in Femto-Seconds. Search on Bibsonomy Evolvable Hardware The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
36Michael Nicolaidis Carry checking/parity prediction adders and ALUs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
36Kwong-Sak Leung, Kin-Hong Lee, Sin Man Cheang Parallel Programs Are More Evolvable than Sequential Programs. Search on Bibsonomy EuroGP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
36Ivo Bolsens Challenges and Opportunities for FPGA Platforms. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
36David Raymond Lutz, Doddaballapur Narasimha-Murthy Jayasimha Early Zero Detection. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF half-adder form, branch penalties, branch resolution, zero detection, speculative execution, ILP
36F. Kampf, P. Koch, K. Roy, M. Sullivan, Z. Delalic, S. DasGupta Optimization of a digital neuron design. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
35David W. Matula, Asger Munk Nielsen Pipelined Packet-Forwarding Floating Point: I. Foundations and a Rounder. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1997 DBLP  DOI  BibTeX  RDF pipelined packet forwarding floating point, packet forwarding floating point format, rounder design, packet forwarding format, standard binary IEEE 754 floating point format, multiplication algorithms, ALU pipeline paradigm, data hazards, pipelined floating point operations, execution phases, multiplier packet forwarding pipelines, execution phase, logic levels, multiplier pipelines, forwarding pipelines, IEEE 754 binary floating point compatibility, pipeline arithmetic, data dependent operations
34Xingtuo Zhang, Yiyi Zhang, Alu Xu, Chunli Meng, Zhonghuan Su Electrical Insulator Surface Condition Analysis Based on Joint Fully Convolutional and Multiscale Spatial Pooling Attention Network. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
34Stéphane D'Alu, Hervé Rivano, Olivier Simonin 0001 TDoA for In-Flight Relative Localization in UAV Swarm using Ultra-Wide Band. Search on Bibsonomy IPIN-WiP The full citation details ... 2023 DBLP  BibTeX  RDF
34Fabrizio Vecchio, Francesca Miraglia, Francesca Alù, Elda Judica, Maria Cotelli, Maria Concetta Pellicciari, Paolo Maria Rossini Human Brain Networks in Physiological and Pathological Aging: Reproducibility of Electroencephalogram Graph Theoretical Analysis in Cortical Connectivity. Search on Bibsonomy Brain Connect. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
34Aravind Nagulu, Ahmed Mekkawy, Mykhailo Tymchenko, Dimitrios L. Sounas, Andrea Alù, Harish Krishnaswamy Ultra-Wideband Switched-Capacitor Delays and Circulators - Theory and Implementation. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
34Mirko Barbuto, Andrea Alù, Filiberto Bilotti, Alessandro Toscano Dual-Circularly Polarized Topological Patch Antenna With Pattern Diversity. Search on Bibsonomy IEEE Access The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
34Fabrizio Vecchio, Francesca Miraglia, Chiara Pappalettera, Alessandro Orticoni, Francesca Alù, Elda Judica, Maria Cotelli, Paolo Maria Rossini Entropy as Measure of Brain Networks' Complexity in Eyes Open and Closed Conditions. Search on Bibsonomy Symmetry The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
34Mykhailo Tymchenko, Aravind Nagulu, Harish Krishnaswamy, Andrea Alù Universal Frequency-Domain Analysis of N-Path Networks. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
34Fabrizio Vecchio, Chiara Pappalettera, Francesca Miraglia, Francesca Alù, Alessandro Orticoni, Elda Judica, Maria Cotelli, Francesca Pistoia, Paolo Maria Rossini Graph Theory on Brain Cortical Sources in Parkinson's Disease: The Analysis of 'Small World' Organization from EEG. Search on Bibsonomy Sensors The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
34Andrea Alù Opportunities for Millemeter-Wave Wireless Technologies Using Metasurfaces. Search on Bibsonomy BCICTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
34Francesca Miraglia, Fabrizio Vecchio, Camillo Marra, Davide Quaranta, Francesca Alù, Benedetta Peroni, Giuseppe Granata, Elda Judica, Maria Cotelli, Paolo Maria Rossini Small World Index in Default Mode Network Predicts Progression from Mild Cognitive Impairment to Dementia. Search on Bibsonomy Int. J. Neural Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
34Ying-Tao Luo, Peng-Qi Li, Dong-Ting Li, Yu-Gui Peng, Zhi-Guo Geng, Shu-Huan Xie, Yong Li, Andrea Alù, Jie Zhu, Xue Feng Zhu Probability-Density-Based Deep Learning Paradigm for the Fuzzy Design of Functional Metastructures. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
34Mario Miscuglio, Yaliang Gui, Xiaoxuan Ma, Shuai Sun, Tarek A. El-Ghazawi, Tatsuo Itoh, Andrea Alù, Volker J. Sorger Analog Computing with Metatronic Circuits. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
34Francesca Alù, Francesca Miraglia, Alessandro Orticoni, Elda Judica, Maria Cotelli, Paolo Maria Rossini, Fabrizio Vecchio Approximate Entropy of Brain Network in the Study of Hemispheric Differences. Search on Bibsonomy Entropy The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
34Alex Krasnok, Andrea Alù Active Nanophotonics. Search on Bibsonomy Proc. IEEE The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
34Andrea Alù Magnet-Free Nonreciprocity [Scanning the Section]. Search on Bibsonomy Proc. IEEE The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
34Andrea Alù, Hilmi Volkan Demir, Chennupati Jagadish Active Nanophotonics [Scanning the Issue]. Search on Bibsonomy Proc. IEEE The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
34Ahmed Kord, Dimitrios L. Sounas, Andrea Alù Microwave Nonreciprocity. Search on Bibsonomy Proc. IEEE The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
34Stéphane D'Alu, Oana Iova, Olivier Simonin 0001, Hervé Rivano Demo: In-flight Localisation of Micro-UAVs using Ultra-Wide Band. Search on Bibsonomy EWSN The full citation details ... 2020 DBLP  BibTeX  RDF
34Andrea Alù Magnet-Free Routes to Nonreciprocal Photonics. Search on Bibsonomy ECOC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
34Lina Han, Jiquan Zhang, Yichen Zhang, Qing Ma, Alu Si, Qiuling Lang Hazard Assessment of Earthquake Disaster Chains Based on a Bayesian Network Model and ArcGIS. Search on Bibsonomy ISPRS Int. J. Geo Inf. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
34Alu Si, Jiquan Zhang, Siqin Tong, Quan Lai, Rui Wang, Na Li, Yongbin Bao Regional Landslide Identification Based on Susceptibility Analysis and Change Detection. Search on Bibsonomy ISPRS Int. J. Geo Inf. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
34Li Na, Jiquan Zhang, Yulong Bao, Yongbin Bao, Risu Na, Siqin Tong, Alu Si Himawari-8 Satellite Based Dynamic Monitoring of Grassland Fire in China-Mongolia Border Regions. Search on Bibsonomy Sensors The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
34Patrice Raveneau, Stephane D'Alu, Hervé Rivano Localisation based on Wi-Fi fingerprints: A crowdsensing approach with a device-to-device aim. Search on Bibsonomy PerCom Workshops The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
34Laëtitia Matignon, Stephane D'Alu, Olivier Simonin 0001 Multi-robot human scene observation based on hybrid metric-topological mapping. Search on Bibsonomy ECMR The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
34Francesco Monticone, Andrea Alù Leaky-Wave Theory, Techniques, and Applications: From Microwaves to Visible Frequencies. Search on Bibsonomy Proc. IEEE The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
34Pai-Yen Chen, Andrea Alù THz beamforming using graphene-based devices. Search on Bibsonomy RWS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
34Yang Zhao, Andrea Alù Optical nanoantennas and their applications. Search on Bibsonomy RWS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
34Andrea Alù, Nader Engheta Optical Metamaterials Based on Optical Nanocircuits. Search on Bibsonomy Proc. IEEE The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
34Andrea Alù, Carmine Sapia, Alessandro Toscano, Lucio Vegni Radio frequency animal identification: electromagnetic analysis and experimental evaluation of the transponder-gate system. Search on Bibsonomy Int. J. Radio Freq. Identif. Technol. Appl. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Mauro Manzini, Andrea Alù, Filiberto Bilotti, Lucio Vegni Polygonal patch antennas for wireless communications. Search on Bibsonomy IEEE Trans. Veh. Technol. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
34Peter Adebayo Idowu, A. O. Alu, E. R. Adagunodo The Effect of Information Technology on the Growth of the Banking Industry in Nigeria. Search on Bibsonomy Electron. J. Inf. Syst. Dev. Ctries. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
33Ioannis Voyiatzis An ALU-Based BIST Scheme for Word-Organized RAMs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Memory control and access, Reliability, Test generation, Built-In Tests, Testing and Fault-Tolerance, Semiconductor Memories
33Pak-Keung Leung, Chiu-sing Choy, Cheong-Fat Chan, Kong-Pang Pun A low power asynchronous GF(2173) ALU for elliptic curve crypto-processor. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
33Stephen W. Keckler, William J. Dally, Daniel Maskit, Nicholas P. Carter, Andrew Chang 0001, Whay Sing Lee Exploiting Fine-grain Thread Level Parallelism on the MIT Multi-ALU Processor. Search on Bibsonomy ISCA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
24Sunwoo Kim, Won Woo Ro FPGA implementation of highly parallelized decoder logic for network coding (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fpga, network coding, fpga implementation, galois field arithmetic
24Fatemeh Eslami, Amirali Baniasadi, Mostafa Farahani Application Specific Transistor Sizing for Low Power Full Adders. Search on Bibsonomy ASAP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
24Sohan Purohit, Sai Rahul Chalamalasetti, Martin Margala A 1.2v, 1.02 ghz 8 bit SIMD compatible highly parallel arithmetic data path for multi-precision arithmetic. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF performance, design
24Xiaohong Qiu, Jaliya Ekanayake, Scott Beason, Thilina Gunarathne, Geoffrey C. Fox, Roger S. Barga, Dennis Gannon Cloud technologies for bioinformatics applications. Search on Bibsonomy SC-MTAGS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Dryad, MPI, bioinformatics, multicore, cloud, Hadoop
24Yuzhong Jiao, Xin'an Wang, Xuewen Ni A Fully Data-Driven Reconfigurable Architecture with Very Coarse-Grained Execution Units. Search on Bibsonomy Infoscale The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Processing element (PE), Execution unit (EU), Very-coarse-grained, Fully-data-driven, Reconfigurable architecture
24Swaroop Ghosh, Kaushik Roy 0001 Exploring high-speed low-power hybrid arithmetic units at scaled supply and adaptive clock-stretching. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Toshinori Sato, Shingo Watanabe Instruction Scheduling for Variation-Originated Variable Latencies. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF variable latency adder, long latency adder, instruction criticality, microprocessors, parameter variations
24Sean O'Rourke, Noah Zaitlen, Nebojsa Jojic, Eleazar Eskin Reconstructing the Phylogeny of Mobile Elements. Search on Bibsonomy RECOMB The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Krishnan Sundaresan, Nihar R. Mahapatra An Analysis of Timing Violations Due to Spatially Distributed Thermal Effects in Global Wires. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24A. J. KleinOsowski, Kevin KleinOsowski, Vijay Rangarajan, Priyadarshini Ranganath, David J. Lilja The Recursive NanoBox Processor Grid: A Reliable System Architecture for Unreliable Nanotechnology Devices. Search on Bibsonomy DSN The full citation details ... 2004 DBLP  DOI  BibTeX  RDF architecture, VLSI, fault-injection, nanotechnology, fault-masking
24Alexey Glebov, Sergey Gavrilov, Vladimir Zolotov, Chanhee Oh, Rajendran Panda, Murat R. Becer False-Noise Analysis for Domino Circuits. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Ahmet Bindal, Silvio Brugada, T. Ha, Willie Sana, Mandeep Singh, Vinilkant Tejaswi, David Wyland A Simple Micro-Threaded Data-Driven Processor. Search on Bibsonomy DSD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Timothy Daryl Stanley Bringing bits, bytes, devices and computers to life with designs in multimedia logic. Search on Bibsonomy SIGITE Conference The full citation details ... 2004 DBLP  DOI  BibTeX  RDF binary visualization, multimedia logic, logic simulation, computer design
24Vasily G. Moshnyaga Reducing Switching Activity of Subtraction via Variable Truncation of the Most-Significant Bits. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF bit-truncation, low-power design, video processing, switching activity
24Edwin A. Hakkennes, Stamatis Vassiliadis Multimedia Execution Hardware Accelerator. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF multimedia architectures, multimedia instruction set, multimedia processors, compound instructions, multimedia, hardware accelerators, subword parallelism, SIMD processors, vector architectures
24Ramadass Nagarajan, Karthikeyan Sankaralingam, Doug Burger, Stephen W. Keckler A design space evaluation of grid processor architectures. Search on Bibsonomy MICRO The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
24Narasimhan Ramasubramanian, Ram Subramanian, Santosh Pande Automatic Analysis of Loops to Exploit Operator Parallelism on Reconfigurable Systems. Search on Bibsonomy LCPC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
24Hyunman Chang, Changhee Lee, Myung Hoon Sunwoo SliM-II: A Linear Array SIMD Processor for Real-time Image Processing. Search on Bibsonomy ICPADS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
24David M. Dahle, Jeffrey D. Hirschberg, Kevin Karplus, Hansjörg Keller, Eric Rice, Don Speck, Douglas H. Williams, Richard Hughey Kestrel: Design of an 8-bit SIMD Parallel Processor. Search on Bibsonomy ARVLSI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
24Hyunman Chang, Soohwan Ong, Myung Hoon Sunwoo A Linear Array Parallel Image Processor: SliM-II. Search on Bibsonomy ASAP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
24Suntae Hwang, Rochit Rajsuman, Yashwant K. Malaiya On the testing of microprogrammed processor. Search on Bibsonomy MICRO The full citation details ... 1990 DBLP  BibTeX  RDF
24Diederik Verkest, Luc J. M. Claesen, Hugo De Man Correctness proofs of parameterized hardware modules in the CATHEDRAL-II synthesis environment. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
24T. R. N. Rao, Harry J. Reinheimer Fault-tolerant modularized arithmetic logic units. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1977 DBLP  DOI  BibTeX  RDF
24William B. Langdon, Wolfgang Banzhaf Repeated patterns in genetic programming. Search on Bibsonomy Nat. Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Genetic alogorithms, ALU, Macky-Glass, Poly-10, Nuclear protein localisation, Tiny GP, GPquick, Evolution of program shape, Sensitivity analysis, Frequent subgraphs, SINE, Frequent subtrees
23Alan Marshall, Tony Stansfield, Igor Kostarnov, Jean Vuillemin, Brad L. Hutchings A Reconfigurable Arithmetic Array for Multimedia Application. Search on Bibsonomy FPGA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF 4-bit ALU, FPGA, multimedia, reconfigurable computing
23Kazumi Hatayama, Kazunori Hikone, Takeshi Miyazaki, Hiromichi Yamada A practical approach to instruction-based test generation for functional modules of VLSI processors. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF VLSI processors, instruction-based test generation, functional test pattern generation, gate level faults, constrained test generation, ALU oriented test pattern generation system, VLSI, functional modules, ALPS
23Waldo C. Kabat, Anthony S. Wojcik On the Design of 4-Valued Digital Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1981 DBLP  DOI  BibTeX  RDF T-gate, 4-valued ALU, Post algebra, multivalued logic, Boolean algebra, digital system design
21S. Senthilmurugan, K. Gunaseelan Performance Analysis of Multicore Processor Using FOFO-Based Approximate Compatible ALU. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 378 (100 per page; Change: )
Pages: [1][2][3][4][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license