The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for ATPG with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1980-1990 (28) 1991-1993 (24) 1994-1995 (46) 1996 (38) 1997 (37) 1998 (36) 1999 (52) 2000 (47) 2001 (42) 2002 (58) 2003 (61) 2004 (54) 2005 (65) 2006 (67) 2007 (50) 2008 (45) 2009 (30) 2010 (16) 2011-2012 (20) 2013 (16) 2014-2015 (25) 2016-2017 (27) 2018-2019 (21) 2020-2022 (23) 2023 (11)
Publication types (Num. hits)
article(216) incollection(4) inproceedings(717) phdthesis(2)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1073 occurrences of 407 keywords

Results
Found 939 publication records. Showing 939 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
92Yong Chang Kim, Vishwani D. Agrawal, Kewal K. Saluja Combinational automatic test pattern generation for acyclic sequential circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
91Suresh Kumar Devanathan, Michael L. Bushnell Sequential Spectral ATPG Using the Wavelet Transform and Compaction. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
87Nikhil Saluja, Kanupriya Gulati, Sunil P. Khatri SAT-based ATPG using multilevel compatible don't-cares. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Boolean satisfiabilty (SAT), testing, Automatic test pattern generation (ATPG), don't cares
87Robert H. Klenke, James H. Aylor, Joseph M. Wolf An analysis of fault partitioning algorithms for fault partitioned ATPG. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF fault partitioning algorithm, VLSI device, detected fault broadcasting, preprocessing time, parallel processing, parallel processing, VLSI, fault diagnosis, integrated circuit testing, ATPG, automatic testing, dynamic load balancing, NP complete problem, digital system, test vector generation
78Kameshwar Chandrasekar, Michael S. Hsiao Forward image computation with backtracing ATPG and incremental state-set construction. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF model checking, ATPG, image computation, ZBDDs
78Mukul R. Prasad, Philip Chong, Kurt Keutzer Why is Combinational ATPG Efficiently Solvable for Practical VLSI Circuits? Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF combinational ATPG, SAT, backtracking, complexity analysis, VLSI circuits
74Xinghao Chen 0003, Michael L. Bushnell Sequential circuit test generation using dynamic justification equivalence. Search on Bibsonomy J. Electron. Test. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF search decision spaces, test generation efficiency, automatic test pattern generation, stuck-at faults, justification
72Liang Zhang 0012, Indradeep Ghosh, Michael S. Hsiao A Framework for Automatic Design Validation of RTL Circuits Using ATPG and Observability-Enhanced Tag Coverage. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
72Yu-Min Kuo, Yue-Lung Chang, Shih-Chieh Chang Efficient Boolean characteristic function for fast timed ATPG. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
72Liang Zhang 0012, Indradeep Ghosh, Michael S. Hsiao Efficient Sequential ATPG for Functional RTL Circuits. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
68Stephan Eggersglüß, Rolf Drechsler On the Influence of Boolean Encodings in SAT-Based ATPG for Path Delay Faults. Search on Bibsonomy ISMVL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Boolean Encodings, ATPG, SAT, Path Delay Faults
68Franco Fummi, Cristina Marconcini, Graziano Pravadelli An EFSM-based approach for functional ATPG. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF ATPG, fault models, EFSM
64Indradeep Ghosh High Level Test Generation for Custom Hardware: An Industrial Perspective. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
63Daniel Tille, Rolf Drechsler A fast untestability proof for SAT-based ATPG. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
63Daniel Tille, Rolf Drechsler Incremental SAT Instance Generation for SAT-based ATPG. Search on Bibsonomy DDECS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
63Chung-Yang Huang, Kwang-Ting Cheng Using word-level ATPG and modular arithmetic constraint-solvingtechniques for assertion property checking. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
63Chung-Yang Huang, Kwang-Ting Cheng Assertion checking by combined word-level ATPG and modular arithmetic constraint-solving techniques. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
63Paul Tafertshofer, Andreas Ganz SAT based ATPG using fast justification and propagation in the implication graph. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
60Lech Józwiak On the use of term trees for effective and efficient test pattern generation. Search on Bibsonomy EUROMICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF term trees, structural automatic test pattern generation, fault discovery, structural fault model, term tree based ATPG algorithm, nonredundant faults, minimal test set, circuit redundancy, logic design, fault model, data representation, automatic test software
59Laung-Terng Wang, Xiaoqing Wen, Shianling Wu, Zhigang Wang, Zhigang Jiang, Boryau Sheu, Xinli Gu VirtualScan: Test Compression Technology Using Combinational Logic and One-Pass ATPG. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF combinational broadcaster, combinational compactor, fault diagnosis, ATPG, test compression, low-power testing, scan testing
59M. J. Geuzebroek, J. Th. van der Linden, Ad J. van de Goor Test Point Insertion that Facilitates ATPG in Reducing Test Time and Data Volume. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF compact test sets, ATPG, fault coverage, stuck-at faults, test length, Test point insertion, gate-delay faults
59Peter Wohl, John A. Waicukauski Using ATPG for clock rules checking in complex scan design. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF clock rules checking, complex scan designs, structured DFT, automated design-rules-checking, robust set of rules, clock-rule-violation detection, fast clock verification, large microprocessor design, topological circuit analysis, zero delay, user controlled verification, capture ability, port contention, cone tracing, equivalent sources, ATPG, race conditions, computer testing, timing verification
55Paul Tafertshofer, Andreas Ganz, Kurt Antreich IGRAINE-an Implication GRaph-bAsed engINE for fast implication, justification, and propagation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
54Shianling Wu, Laung-Terng Wang, Zhigang Jiang, Jiayong Song, Boryau Sheu, Xiaoqing Wen, Michael S. Hsiao, James Chien-Mo Li, Jiun-Lang Huang, Ravi Apte On Optimizing Fault Coverage, Pattern Count, and ATPG Run Time Using a Hybrid Single-Capture Scheme for Testing Scan Designs. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
54Kai Yang, Kwang-Ting Cheng, Li-C. Wang TranGen: a SAT-based ATPG for path-oriented transition faults. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
54Debashis Nayak, Srikanth Venkataraman, Paul J. Thadikaran Razor: A Tool for Post-Silicon Scan ATPG Pattern Debug and Its Application. Search on Bibsonomy VTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
54Kameshwar Chandrasekar, Michael S. Hsiao Decision Selection and Learning for an All-Solutions ATPG Engine. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
54Vivekananda M. Vedula, Whitney J. Townsend, Jacob A. Abraham Program Slicing for ATPG-Based Property Checking. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
54Rubin A. Parekhji Testing Embedded Cores and SOCs-DFT, ATPG and BIST Solutions. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
54Ganapathy Parthasarathy, Madhu K. Iyer, Tao Feng 0012, Li-C. Wang, Kwang-Ting Cheng, Magdy S. Abadir Combining ATPG and Symbolic Simulation for Efficient Validation of Embedded Array Systems. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
54Tsuyoshi Shinogi, Tomokazu Kanbayashi, Tomohiro Yoshikawa, Shinji Tsuruoka, Terumine Hayashi Faulty Resistance Sectioning Technique for Resistive Bridging Fault ATPG Systems. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
54Maria K. Michael, Spyros Tragoudas ATPG for Path Delay Faults without Path Enumeration. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
54Fulvio Corno, Uwe Gläser, Paolo Prinetto, Matteo Sonza Reorda, Heinrich Theodor Vierhaus, Massimo Violante SymFony: a hybrid topological-symbolic ATPG exploiting RT-level information. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
54Eugeni Isern 0001, Miquel Roca 0001, Jaume Segura 0001 Analyzing the Need for ATPG Targeting GOS Defects. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
54Li-C. Wang, M. Ray Mercer, Thomas W. Williams A Better ATPG Algorithm and Its Design Principles. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
51Hyoung B. Min, William A. Rogers A test methodology for finite state machines using partial scan design. Search on Bibsonomy J. Electron. Test. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF loop-free circuits, test generation, ATPG, fault, partial scan
50Shuo Sheng, Koichiro Takayama, Michael S. Hsiao Effective safety property checking using simulation-based sequential ATPG. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF sequential ATPG, simulation-based, verification
50Jalal A. Wehbeh, Daniel G. Saab Initialization of Sequential Circuits and its Application to ATPG. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF verification, CAD, ATPG, initialization
47Wu-Tung Cheng Current status and future trend on CAD tools for VLSI testing Wu-Tung Cheng. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF test logic, deep Sub-Micron technologies, scan-based ATPG, test application cost, test development, VLSI, CAD, logic testing, built-in self test, system on chip, SoC, automatic test pattern generation, automatic test pattern generation, ATPG, BIST, VLSI design, integrated circuit design, circuit CAD, VLSI testing, embedded memories, test quality, integrated circuit economics
46Tiziana Gravagnoli, Fabrizio Ferrandi, Pier Luca Lanzi, Donatella Sciuto Automatic Test Pattern Generation with BOA. Search on Bibsonomy PPSN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
45Rolf Drechsler, Stephan Eggersglüß, Görschwin Fey, Andreas Glowatz, Friedrich Hapke, Jürgen Schlöffel, Daniel Tille On Acceleration of SAT-Based ATPG for Industrial Designs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
45Franco Fummi, Graziano Pravadelli Too Few or Too Many Properties? Measure it by ATPG! Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Property coverage, Property optimization, Model checking, Functional verification, Functional fault model
45Görschwin Fey, Tim Warode, Rolf Drechsler Reusing Learned Information in SAT-based ATPG. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
45Görschwin Fey, Junhao Shi, Rolf Drechsler Efficiency of Multi-Valued Encoding in SAT-based ATPG. Search on Bibsonomy ISMVL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
45Qiang Qiang, Chia-Lun Chang, Daniel G. Saab, Jacob A. Abraham Case Study of ATPG-based Bounded Model Checking: Verifying USB2.0 IP Core. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
45Arkan Abdulrahman, Spyros Tragoudas Compact ATPG for Concurrent SOC Testing. Search on Bibsonomy MTV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
45Shuo Sheng, Michael S. Hsiao Efficient Preimage Computation Using A Novel Success-Driven ATPG. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
45Liang Zhang 0012, Michael S. Hsiao, Indradeep Ghosh Automatic Design Validation Framework for HDL Descriptions via RTL ATPG. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
45Puneet Gupta, Michael S. Hsiao High Quality ATPG for Delay Defects. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
45Xiaoliang Bai, Sujit Dey, Angela Krstic HyAC: A Hybrid Structural SAT Based ATPG for Crosstalk. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
45Sooryong Lee, Brad Cobb, Jennifer Dworak, Michael R. Grimaila, M. Ray Mercer A New ATPG Algorithm to Limit Test Set Size and Achieve Multiple Detections of All Faults. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
45Chih-Wei Jim Chang, Malgorzata Marek-Sadowska ATPG-based logic synthesis: an overview. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
45Mani Soma, Sam D. Huynh, Jinyan Zhang, Seongwon Kim, Giri Devarayanadurg Hierarchical ATPG for Analog Circuits and Systems. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
45Fulvio Corno, Matteo Sonza Reorda, Giovanni Squillero High-Level Observability for Effective High-Level ATPG. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
45Madhavi Karkala, Nur A. Touba, Hans-Joachim Wunderlich Special ATPG to Correlate Test Patterns for Low-Overhead Mixed-Mode BIST. Search on Bibsonomy Asian Test Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
45Seongmoon Wang, Sandeep K. Gupta 0001 ATPG for Heat Dissipation Minimization During Scan Testing. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
45Rajarshi Mukherjee, Jawahar Jain, Masahiro Fujita, Jacob A. Abraham, Donald S. Fussell On More Efficient Combinational ATPG Using Functional Learning. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
45Kwang-Ting Cheng, Hi-Keung Tony Ma On the over-specification problem in sequential ATPG algorithms. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
42Mahmut Yilmaz, Krishnendu Chakrabarty, Mohammad Tehranipoor Test-Pattern Grading and Pattern Selection for Small-Delay Defects. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Small-delay defects, pattern grading, pattern selection, ATPG
42Soumitra Bose Modeling Custom Digital Circuits for Test. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF ATPG, fault simulation, logic simulation, switch-level modeling
42Fei Li 0003, Lei He 0001, Kewal K. Saluja Estimation of Maximum Power-Up Current. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF current estimation, ATPG algorithm, leakage reduction
42Aarti Gupta, Pranav Ashar Integrating a Boolean Satisfiability Checker and BDDs for Combinational Equivalence Checking. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF ATPG techniques, circuit similarity, formal verification, combinational circuits, Boolean satisfiability (SAT), combinational equivalence checking, Binary Decision Diagrams (BDDs)
42Peter A. Krauss, Andreas Ganz, Kurt Antreich Distributed Test Pattern Generation for Stuck-At Faults in Sequential Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF fault parallelism, search space parallelism, sequential circuits, ATPG
42Kuen-Jong Lee, Jing-Jou Tang, Tsung-Chu Huang, Cheng-Liang Tsai Combination Of Automatic Test Pattern Generation And Built-In Intermediate Voltage Sensing For Detecting CMOS Bridging Faults. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF CMOS bridging faults detection, ATPG system, built-in intermediate voltage sensing, BIFEST system, PODEM-like process, PPSFP-based process, logic monitoring, gate threshold ranges, Byzantine General's Command Problem, feedback bridging faults, parallel pattern single fault propagation, fault modelling, fault simulation, fault coverage, greedy algorithm, CMOS logic circuits
42Xinli Gu RT level testability-driven partitioning. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF testability-driven partitioning, RT level designs, hard-to-test points, testability analysis algorithm, normal mode, design function, test mode, acyclic partition, BIST technique, fault diagnosis, logic testing, built-in self test, integrated circuit testing, design for testability, ATPG, automatic testing, logic CAD, fault coverage, logic partitioning, test application time, data path, testability measurements, DFT techniques
41Manoj Kumar Goparaju, Spyros Tragoudas A Novel ATPG Framework to Detect Weight Related Defects in Threshold Logic Gates. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Weght defects, ATPG, Threshold logic, Parametric faults
41Jeffrey E. Nelson, Jason G. Brown, Rao Desineni, R. D. (Shawn) Blanton Multiple-detect ATPG based on physical neighborhoods. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF N-detect, multiple-detect, ATPG, defects, neighborhoods
41Ronald P. Lajaunie, Michael S. Hsiao An effective and efficient ATPG-based combinational equivalence checker. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF verification, ATPG, equivalence checking
41Mahilchi Milir Vaseekar Kumar, Saravanan Padmanaban, Spyros Tragoudas Low power ATPG for path delay faults. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF low power, ATPG, path delay faults, PODEM
41Fulvio Corno, Paolo Prinetto, Maurizio Rebaudengo, Matteo Sonza Reorda, Massimo Violante Exploiting Logic Simulation to Improve Simulation-based Sequential ATPG. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Genetic Algorithm, ATPG
41Paul Tafertshofer, Andreas Ganz, Manfred Henftling A SAT-based implication engine for efficient ATPG, equivalence checking, and optimization of netlists. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF SAT-based implication engine, circuit clause description, efficient ATPG, implication evaluation, indirect implications, netlist optimization, structure based methods, graph algorithms, automatic testing, logic circuits, graph model, equivalence checking, implication graph
41Salvador Mir, Marcelo Lubaszewski, Bernard Courtois Fault-based ATPG for linear analog circuits with minimal size multifrequency test sets. Search on Bibsonomy J. Electron. Test. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF analog ATPG, fault diagnosis, fault-based testing, analog BIST
41Jalal A. Wehbeh, Daniel G. Saab Initialization of sequential circuits and its application to ATPG. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF initialization sequence, X-value simulation, functional initializability, VLSI, logic testing, integrated circuit testing, sequential circuits, sequential circuits, ATPG, automatic testing, integrated logic circuits, structural decomposition
41Anne-Lise Courbis, Jean François Santucci Pseudo-random behavioral ATPG. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF pseudo-random behavioral ATPG, fault diagnosis, logic testing, VHDL, automatic testing, hardware description languages
41Fulvio Corno, Paolo Prinetto, Maurizio Rebaudengo, Matteo Sonza Reorda, Enzo Veiluva A portable ATPG tool for parallel and distributed systems. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF portable ATPG tool, memory critical problems, electronic CAD, code portability, PVM library, DEC Alpha AXP, genetic algorithms, genetic algorithm, distributed systems, parallel architectures, parallel architectures, logic testing, message passing, integrated circuit testing, sequential circuits, sequential circuits, automatic testing, logic CAD, parallel systems, software portability, message-passing libraries, CM-5
41Fulvio Corno, Paolo Prinetto, Matteo Sonza Reorda, Uwe Gläser, Heinrich Theodor Vierhaus Improving topological ATPG with symbolic techniques. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF topological ATPG, fault diagnosis, logic testing, integrated circuit testing, sequential circuits, sequential circuits, network topology, automatic testing, fault coverage, binary decision diagrams, CPU time, critical areas, symbolic techniques
41Don Sterba, Andy Halliday, Don McClean ATPG and diagnostics for boards implementing boundary scan. Search on Bibsonomy J. Electron. Test. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF 1149.1, ATPG, diagnostics, boundary scan, JTAG
37Loganathan Lingappan, Srivaths Ravi 0001, Niraj K. Jha Satisfiability-based test generation for nonseparable RTL controller-datapath circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
37Shweta Chary, Michael L. Bushnell Analog Macromodeling for Combined Resistive Vias, Resistive Bridges, and Capacitive Crosstalk Delay Faults. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
37Nisar Ahmed, Mohammad Tehranipoor, Vinay Jayaram Timing-based delay test for screening small delay defects. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF test generation, delay testing
37Zhigang Jiang, Sandeep K. Gupta 0001 A Test Generation Approach for Systems-on-Chip that Use Intellectual Property Cores. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
37Loganathan Lingappan, Srivaths Ravi 0001, Niraj K. Jha Test Generation for Non-separable RTL Controller-datapath Circuits using a Satisfiability based Approach. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
37Mihalis Psarakis, Dimitris Gizopoulos, Antonis M. Paschalis, Yervant Zorian Sequential Fault Modeling and Test Pattern Generation for CMOS Iterative Logic Arrays. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Sequential fault modeling, test pattern generation, robust testing, iterative logic arrays
37Silvia Chiusano, Fulvio Corno, Paolo Prinetto A Test Pattern Generation Algorithm Exploiting Behavioral Information. Search on Bibsonomy Asian Test Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
37Aiman H. El-Maleh, Thomas E. Marchok, Janusz Rajski, Wojciech Maly Behavior and testability preservation under the retiming transformation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
37J. Th. van der Linden, M. H. Konijnenburg, Ad J. van de Goor Circuit Partitioned Automatic Test Pattern Generation Constrained by Three-State Buses and Restrictors. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
37Vivek Chickermane, Jaushin Lee, Janak H. Patel Addressing design for testability at the architectural level. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
36K.-W. Yeh, M.-F. Wu, J.-L. Huang A Low Communication Overhead and Load Balanced Parallel ATPG with Improved Static Fault Partition Method. Search on Bibsonomy ICA3PP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
36Boxue Yin, Dong Xiang, Zhen Chen New Techniques for Accelerating Small Delay ATPG and Generating Compact Test Sets. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
36Franco Fummi, Cristina Marconcini, Graziano Pravadelli, Ian G. Harris A CLP-Based Functional ATPG for Extended FSMs. Search on Bibsonomy MTV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
36Margrit R. Krug, Marcelo Lubaszewski, Marcelo de Souza Moraes Improving ATPG Gate-Level Fault Coverage by using Test Vectors generated from Behavioral HDL Descriptions. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
36Giuseppe Di Guglielmo, Franco Fummi, Cristina Marconcini, Graziano Pravadelli FATE: a Functional ATPG to Traverse Unstabilized EFSMs. Search on Bibsonomy ETS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
36Giuseppe Di Guglielmo, Franco Fummi, Cristina Marconcini, Graziano Pravadelli Improving Gate-Level ATPG by Traversing Concurrent EFSMs. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
36Qiang Qiang, Daniel G. Saab, Jacob A. Abraham Checking Nested Properties Using Bounded Model Checking and Sequential ATPG. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
36Giuseppe Di Guglielmo, Franco Fummi, Cristina Marconcini, Graziano Pravadelli A Pseudo-Deterministic Functional ATPG based on EFSM Traversing. Search on Bibsonomy MTV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
36Ahmad A. Al-Yamani, Edward J. McCluskey BIST-Guided ATPG. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
36B. K. S. V. L. Varaprasad, Lalit M. Patnaik, Hirisave S. Jamadagni, V. K. Agrawal A new ATPG technique (MultiDetect) for testing of analog macros in mixed-signal circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
36Shuo Sheng, Michael S. Hsiao Success-Driven Learning in ATPG for Preimage Computation. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
36M. Enamul Amyeen, Srikanth Venkataraman, Ajay Ojha, Sangbong Lee Evaluation of the Quality of N-Detect Scan ATPG Patterns on a Processor. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
36Mukul R. Prasad, Michael S. Hsiao, Jawahar Jain Can SAT be used to Improve Sequential ATPG Methods? Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 939 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license