The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for asynchronous with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1955-1964 (15) 1965-1967 (17) 1968-1969 (23) 1970-1971 (33) 1972-1973 (20) 1974 (19) 1975-1976 (23) 1977-1978 (32) 1979-1980 (21) 1981-1982 (29) 1983 (21) 1984 (17) 1985 (30) 1986 (38) 1987 (50) 1988 (71) 1989 (85) 1990 (97) 1991 (100) 1992 (157) 1993 (167) 1994 (220) 1995 (311) 1996 (289) 1997 (312) 1998 (301) 1999 (369) 2000 (399) 2001 (450) 2002 (521) 2003 (542) 2004 (602) 2005 (737) 2006 (788) 2007 (858) 2008 (774) 2009 (663) 2010 (531) 2011 (474) 2012 (476) 2013 (557) 2014 (495) 2015 (523) 2016 (582) 2017 (601) 2018 (629) 2019 (735) 2020 (685) 2021 (768) 2022 (782) 2023 (880) 2024 (229)
Publication types (Num. hits)
article(7130) book(16) data(2) incollection(46) inproceedings(10730) phdthesis(190) proceedings(34)
Venues (Conferences, Journals, ...)
CoRR(1205) ASYNC(594) IEEE Trans. Computers(175) ISCAS(163) IEEE Trans. Commun.(149) PODC(147) IEEE Access(139) ICC(130) IEEE Trans. Wirel. Commun.(130) IPDPS(121) IACR Cryptol. ePrint Arch.(115) GLOBECOM(111) DISC(109) HICSS(104) IEEE Trans. Parallel Distribut...(103) ICASSP(102) More (+10 of total 3075)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 9115 occurrences of 3515 keywords

Results
Found 18148 publication records. Showing 18148 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
62Georgios Theodoropoulos 0001, J. V. Woods Simulating Asynchronous Architectures on Transputer Networks. Search on Bibsonomy PDP The full citation details ... 1996 DBLP  DOI  BibTeX  RDF asynchronous architecture simulation, asynchronous design techniques, clock related timing problems, CSP based parallel language, asynchronous architectural simulation models, parallel architectures, logic design, asynchronous circuits, circuit analysis computing, parallel languages, Occam, Occam, asynchronous logic, transputer systems, transputer networks
53Alexandre Yakovlev, A. I. Petrov, Leonid Ya. Rosenblum Synthesis of Asynchronous Control Circuits from Symbolic Signal Transition Graphs. Search on Bibsonomy Asynchronous Design Methodologies The full citation details ... 1993 DBLP  BibTeX  RDF
53Meng-Lin Yu, P. A. Subrahmanyam Hazard-Free Asynchronous Circuit Synthesis. Search on Bibsonomy Asynchronous Design Methodologies The full citation details ... 1993 DBLP  BibTeX  RDF
53Al Davis, Bill Coates 0001, Ken Stevens Automatic Synthesis of Fast Compact Asynchronous Control Circuits. Search on Bibsonomy Asynchronous Design Methodologies The full citation details ... 1993 DBLP  BibTeX  RDF
53Luciano Lavagno, Alberto L. Sangiovanni-Vincentelli Automated Synthesis of Asynchronous Interface Circuits. Search on Bibsonomy Asynchronous Design Methodologies The full citation details ... 1993 DBLP  BibTeX  RDF
53Jim D. Garside A CMOS VLSI Implementation of an Asynchronous ALU. Search on Bibsonomy Asynchronous Design Methodologies The full citation details ... 1993 DBLP  BibTeX  RDF
53Kees van Berkel 0001, Ronan Burgess, Joep L. W. Kessels, Marly Roncken, Frits D. Schalij Characterization and Evaluation of a Compiled Asynchronous IC. Search on Bibsonomy Asynchronous Design Methodologies The full citation details ... 1993 DBLP  BibTeX  RDF
53Jaco Haans, Kees van Berkel 0001, Ad M. G. Peeters, Frits D. Schalij Asynchronous Multipliers as Combinational Handshake Circuits. Search on Bibsonomy Asynchronous Design Methodologies The full citation details ... 1993 DBLP  BibTeX  RDF
49Martin Simlastík, Viera Stopjaková Automated Synchronous-to-Asynchronous Circuits Conversion: A Survey. Search on Bibsonomy PATMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Asynchronous Digital Circuits, Self-time Digital Circuits, Synchronous-to-asynchronous Conversion, DLAP, De-synchronization, Phased Logic, LEDR, Low Power, Null Convention Logic, SADT
49Octavian Petre, Hans G. Kerkhoff Scan Test Strategy for Asynchronous-Synchronous Interfaces. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF globally asynchronous locally synchronous (GALS), asynchronous synchronous interface, synchronizers, scan test
48Eun-Gu Jung, Jeong-Gun Lee, Kyoung-Son Jhang, Jeong-A Lee, Dong-Soo Har Asynchronous Layered Interface of Multimedia SoCs for Multiple Outstanding Transactions. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multiple outstanding transactions, in-order/out-of-order transaction completion, asynchronous on-chip bus, GALS
48Rik van de Wiel High-level test evaluation of asynchronous circuits. Search on Bibsonomy ASYNC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF high-level test evaluation, production fault tests, high-level circuit description, asynchronous 22 k transistor DCC error corrector IC, VLSI, logic testing, fault model, asynchronous circuits, asynchronous circuits, error detection codes
48Joep L. W. Kessels VLSI programming of a low-power asynchronous Reed-Solomon decoder for the DCC player. Search on Bibsonomy ASYNC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF digital audio tape, VLSI programming, low-power asynchronous Reed-Solomon decoder, DCC player, Tangram, minimal power dissipation, low-power cost-effective design, VLSI, logic programming, power consumption, power consumption, asynchronous circuits, asynchronous circuit, decoding, Reed-Solomon codes
48Jelio Todorov Yantchev, C. G. Huang, Mark B. Josephs, Ivailo M. Nedelchev Low-latency asynchronous FIFO buffers. Search on Bibsonomy ASYNC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF buffer circuits, low-latency asynchronous FIFO buffers, parallel asynchronous implementation, interface circuitry, inter-chip communication wires, acknowledge signal, high-throughput multiple-burst signalling scheme, packet switching, asynchronous circuits, pipeline processing, propagation delay
46Venkatesh Akella, Ganesh Gopalakrishnan Specification and Validation of Control-Intensive IC's in hopCP. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF control-intensive integrated circuits, hopCP, asynchronous operations, multiple concurrent threads, Intel 8251, Universal Synchronous/Asynchronous Receiver/Transmitter, USART, synchronous message passing, distributed shared variables, asynchronous ports, compiled-code concurrent functional simulator, CFSIM, formal specification, formal methods, formal verification, specification, validation, message passing, specification languages, interrupt, digital simulation, hardware description language, microprocessor chips, hardware design, polling, computational requirements, synchronous operations
46Stephen B. Furber, Martyn Edwards (eds.) Asynchronous Design Methodologies, Proceedings of the IFIP WG10.5 Working Conference on Asynchronous Design Methodologies, Manchester, UK, 31 March - 2 April, 1993 Search on Bibsonomy Asynchronous Design Methodologies The full citation details ... 1993 DBLP  BibTeX  RDF
46Ilana David, Ran Ginosar, Michael Yoeli Self-Timed Architecture of a Reduced Instruction Set Computer. Search on Bibsonomy Asynchronous Design Methodologies The full citation details ... 1993 DBLP  BibTeX  RDF
46Marly Roncken, Ronald Saeijs Linear Test Times for Delay-Insensitive Circuits: a Compilation Strategy. Search on Bibsonomy Asynchronous Design Methodologies The full citation details ... 1993 DBLP  BibTeX  RDF
46O. Salomon, Heinrich Klar Self-Timed Fully Pipelined Multipliers. Search on Bibsonomy Asynchronous Design Methodologies The full citation details ... 1993 DBLP  BibTeX  RDF
46Jo C. Ebergen, P. F. Bertrand, S. Gingras Solving a Mutual Exclusion Problem with the RGD Arbiter. Search on Bibsonomy Asynchronous Design Methodologies The full citation details ... 1993 DBLP  BibTeX  RDF
46Mark B. Josephs, Jan Tijmen Udding Implementing a Stack as a Delay-insensitive Circuit. Search on Bibsonomy Asynchronous Design Methodologies The full citation details ... 1993 DBLP  BibTeX  RDF
46Jens Sparsø, Christian D. Nielsen, Lars Skovby Nielsen, Jørgen Staunstrup Design of Self-timed Multipliers: A Comparison. Search on Bibsonomy Asynchronous Design Methodologies The full citation details ... 1993 DBLP  BibTeX  RDF
46Rix Groenboom, Mark B. Josephs, Paul G. Lucassen, Jan Tijmen Udding Normal Form in a Delay-Insensitive Algebra. Search on Bibsonomy Asynchronous Design Methodologies The full citation details ... 1993 DBLP  BibTeX  RDF
46Kees van Berkel 0001 VLSI Programming of a Modulo-N Counter with Constant Response Time and Constant Power. Search on Bibsonomy Asynchronous Design Methodologies The full citation details ... 1993 DBLP  BibTeX  RDF
44Pierre Ganty, Rupak Majumdar, Andrey Rybalchenko Verifying liveness for asynchronous programs. Search on Bibsonomy POPL The full citation details ... 2009 DBLP  DOI  BibTeX  RDF asynchronous (event-driven) programming, fair termination, petri nets, liveness
44Lilian Janin, Doug Edwards Software Visualisation Techniques Adapted and Extended for Asynchronous Hardware Design. Search on Bibsonomy IV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF program comprehension, asynchronous circuits, Software visualisation, coordinated views
44Daranee Hormdee, Jim D. Garside, Stephen B. Furber An Asynchronous Victim Cache. Search on Bibsonomy DSD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF copy-back cache architecture, asynchronous design, victim cache
44Rida A. Bazzi Access cost for asynchronous Byzantine quorum systems. Search on Bibsonomy Distributed Comput. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Access cost, Fault tolerance, Distributed systems, Asynchronous, Quorum, Byzantine failures
44Rida A. Bazzi Non-blocking Asynchronous Byzantine Quorum Systems. Search on Bibsonomy DISC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF access cost, distributed, asynchronous, failures, quorum, tolerance, Byzantine
44Craig Farnsworth, David A. Edwards, Jianwei Liu, Shiv S. Sikand A hybrid asynchronous system design environment. Search on Bibsonomy ASYNC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF hybrid asynchronous system design environment, hybrid design scheme, asynchronous circuit synthesis, Tangram silicon complier, synchronous design techniques, concurrency, high level synthesis, asynchronous circuits, power reduction, performance gains, micropipelines
44Chantal Ykman-Couvreur, Bill Lin 0001 Optimised state assignment for asynchronous circuit synthesis. Search on Bibsonomy ASYNC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF optimised state assignment, asynchronous circuit synthesis, complete state coding, state graph level, asynchronous benchmarks, circuit area, logic design, encoding, asynchronous circuits, computation time, state assignment
44David A. Kearney, Neil W. Bergmann Performance evaluation of asynchronous logic pipelines with data dependent processing delays. Search on Bibsonomy ASYNC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF asynchronous logic pipelines, data dependent processing delays, logic stages, data dependent delay, two valued random variable, performance evaluation, performance evaluation, asynchronous circuits, pipeline processing, latches
43Mathew A. Sacker, Andrew D. Brown, Peter R. Wilson, Andrew J. Rushton A General Purpose Behavioural Asynchronous Synthesis System. Search on Bibsonomy ASYNC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Behavioural synthesis, asynchronous synthesis, cryptography
43Joep L. W. Kessels, Paul Marston Designing Asynchronous Standby Circuits for a Low-Power Pager. Search on Bibsonomy ASYNC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF pager, loadable counter, synchronous/asynchronous, low-power, co-design
43Stephen B. Furber, Jim D. Garside, Steve Temple, Jianwei Liu, Paul Day, N. C. Paver AMULET2e: An Asynchronous Embedded Controller. Search on Bibsonomy ASYNC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Low power, Microprocessors, Asynchronous design, Embedded control
42Marly Roncken, Ken S. Stevens, Rajesh Pendurkar, Shai Rotem, Parimal Pal Chaudhuri CA-BIST for Asynchronous Circuits: A Case Study on the RAPPID Asynchronous Instruction Length Decoder. Search on Bibsonomy ASYNC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF pulse logic, switch-level fault simulation, Cellular Automata, BIST, asynchronous circuits, testability, stuck-at faults, domino logic, self-timed circuits, dynamic circuits
42Thierry Chich, Pierre Fraigniaud An extended comparison of slotted and unslotted deflection routing. Search on Bibsonomy ICCCN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF slotted deflection routing, unslotted deflection routing, synchronized all-optical deflection networks, asynchronous all-optical deflection networks, synchronous mode, partially synchronous mode, asynchronous mode, fixed packet sizes, bound packet sizes, performances, design, cost, telecommunication network routing, bursty traffic
41Chantal Ykman-Couvreur, Bill Lin 0001 Efficient state assignment framework for asynchronous state graphs. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF state assignment framework, asynchronous state graphs, state graph level, complete state coding problem, circuit area, logic design, encoding, asynchronous circuits, asynchronous circuits, computation time, state assignment
41Xuan-Tu Tran, Yvain Thonnart, Jean Durupt, Vincent Beroulle, Chantal Robach A Design-for-Test Implementation of an Asynchronous Network-on-Chip Architecture and its Associated Test Pattern Generation and Application. Search on Bibsonomy NOCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF NoC testing, QDI asynchronous logic, Network-on-Chip, DfT, testability, NoC, Design-for-Test, GALS, SoC testing, testing methodology, on-chip communication, Globally Asynchronous - Locally Synchronous
41Alain Girault, Clément Ménier Automatic Production of Globally Asynchronous Locally Synchronous Systems. Search on Bibsonomy EMSOFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Globally synchronous-locally asynchronous (GALS), asynchronous communications, hardware/software codesign, distributed architectures, synchronous circuits, automatic distribution
40José Manuel Colmenar, Noelia Morón, Oscar Garnica, Juan Lanchares, José Ignacio Hidalgo Modelling Asynchronous Systems using Probability Distribution Functions. Search on Bibsonomy PDP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF modelling, asynchronous, microarchitecture
40Gaurav Gulati, Erik Brunvand Design of a cell library for asynchronous microengines. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF CMOS cell library, microprogramed control, asynchronous control, self-timed systems
40John Teifel, Rajit Manohar An Asynchronous Dataflow FPGA Architecture. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Asynchronous/synchronous operation, reconfigurable hardware, gate arrays, dataflow architectures
40Lu Jun, Xianliang Lu, Han Hong, Qingsong Wei A cooperative asynchronous write mechanism for NAS. Search on Bibsonomy ACM SIGOPS Oper. Syst. Rev. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF performance, cache, cooperation, asynchronous, write, NAS
40Hans M. Jacobson, Ganesh Gopalakrishnan Asynchronous Microengines for Efficient High-level Control. Search on Bibsonomy ARVLSI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF asynchronous circuits, microprogramming, self-timing
40Jing Wang Asynchronous Computing and Communication Architecture Toward Energy Efficient Wireless Sensor Networks. Search on Bibsonomy PerCom The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
40Morteza Damavandpeyma, Siamak Mohammadi Architectural Synthesis with Control Data Flow Extraction toward an Asynchronous CAD Tool. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
40C. J. Elston, D. B. Christianson, Paul A. Findlay, Gordon B. Steven Hades-towards the design of an asynchronous superscalar processor. Search on Bibsonomy ASYNC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF asynchronous superscalar processor, Hades, generic processor architecture, asynchronous processor design, decoupled operand forwarding, register writeback, computer architecture, logic design
40Chia-Hsing Chien, Mark A. Franklin, Tienyo Pan, Prithvi Prabhu ARAS: asynchronous RISC architecture simulator. Search on Bibsonomy ASYNC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF asynchronous RISC architecture simulator, ARAS, pipeline instruction simulator, benchmark programs, pipeline configuration, asynchronous pipeline architectures, performance evaluation, parallel architectures, virtual machines, performance measurements, pipeline processing
39Joep L. W. Kessels, Gerrit den Besten, Ad M. G. Peeters, Torsten Kramer, Volker Timm Applying Asynchronous Circuits in Contactless Smart Cards. Search on Bibsonomy ASYNC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF low-power asynchronous circuits, contactless devices, DES cryptography, smart cards
39Romain Beauxis, Catuscia Palamidessi, Frank D. Valencia On the Asynchronous Nature of the Asynchronous pi-Calculus. Search on Bibsonomy Concurrency, Graphs and Models The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
39Mikio Aoyama, Akira Mori A Unified Design Method of Asynchronous Service-Oriented Architecture Based on the Models and Patterns of Asynchronous Message Exchanges. Search on Bibsonomy ICWS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
39Cedric Koch-Hofer, Marc Renaudin, Yvain Thonnart, Pascal Vivet ASC, a SystemC Extension for Modeling Asynchronous Systems, and Its Application to an Asynchronous NoC. Search on Bibsonomy NOCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
39Ionel Muscalagiu, Hong Jiang, Horia Emil Popa Implementation and Evaluation Model for the Asynchronous Search Techniques: From a Synchronously Distributed System to an Asynchronous Distributed System. Search on Bibsonomy SYNASC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
39Dietrich Kuske Asynchronous Cellular Automata and Asynchronous Automata for Pomsets. Search on Bibsonomy CONCUR The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
38Seokjin Kim, Ramalingam Sridhar A local clocking approach for self-timed datapath designs. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF self-timed datapath designs, local clock control circuit, synchronous datapaths, asynchronous environment, locally-clocked multiplier, asynchronous system implementation, timing, logic design, logic design, digital arithmetic, asynchronous circuits, multiplying circuits
37Sam S. Appleton, Shannon V. Morton, Michael J. Liebelt A new method for asynchronous pipeline control. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF asynchronous pipeline control, static logic control, dynamic logic control, flow controlled asynchronous method, asynchronous circuits, VLSI architecture
37R. S. Hogg, W. I. Hughes, David W. Lloyd A Novel Asynchronous ALU for Massively Parallel Architectures. Search on Bibsonomy PDP The full citation details ... 1996 DBLP  DOI  BibTeX  RDF novel asynchronous ALU, self timed asynchronous bit serial massively parallel architecture, fixed word length, small magnitude data, self timed communication techniques, self timed single instruction systolic array, ST-SISA, self timed delay insensitive techniques, parallel architectures, systolic arrays, asynchronous circuits, clock skew, massively parallel architectures, clocked control, arithmetic logic unit
37Karl M. Fant, Scott A. Brandt NULL Convention LogicTM: A Complete And Consistent Logic For Asynchronous Digital Circuit Synthesis. Search on Bibsonomy ASAP The full citation details ... 1996 DBLP  DOI  BibTeX  RDF consistent logic, asynchronous digital circuit synthesis, symbolically complete logic, asynchronous digital circuits, asynchronous circuits, multivalued logic, three value logic, Boolean logic, NULL Convention Logic, four value logic
37Robert M. Fuhrer, Bill Lin 0001, Steven M. Nowick Algorithms for the optimal state assignment of asynchronous state machines. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF optimal state assignment, asynchronous state machines, state codes, race-free state assignment, hazard-free state assignment, input encoding problem, sum-of-products implementations, finite state machines, asynchronous circuits, state assignment, minimisation of switching nets, hazards and race conditions, asynchronous sequential logic
37O. A. Petlin, Stephen B. Furber Scan testing of asynchronous sequential circuits. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF micropipeline design style, combinational block, state holding elements, standard test generation techniques, VLSI, logic testing, delays, integrated circuit testing, logic design, sequential circuits, asynchronous circuits, integrated logic circuits, delay faults, boundary scan testing, scan testing, single stuck-at faults, asynchronous sequential logic, asynchronous sequential circuits
37Jerry Zhigang Li, Sharon Elizabeth Bratt Activity Theory as Tool for Analyzing Asynchronous Learning Networks (ALN). Search on Bibsonomy ICWL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF online conference, E-learning, computer-mediated communication, Asynchronous communication, activity theory, instructional design, web-based learning, online discussion, Asynchronous Learning Networks
37Atanu Chattopadhyay, Zeljko Zilic A globally asynchronous locally dynamic system for ASICs and SoCs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF all-digital clock generation, dynamic clock manager, globally asynchronous locally synchronous system, asynchronous design
37François Verdier, Alain Mérigot, Bertrand Y. Zavidovique Fast Stable Matching Algorithm using Asynchronous Parallel Programming Model. Search on Bibsonomy CAMP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF fast stable matching algorithm, asynchronous parallel programming model, stable marriage algorithm, massively parallel asynchronous model, asynchronously communicating processors, image processing problem, image processing, 3D reconstruction, image matching, database search
37Michael J. Liebelt, Cheng-Chew Lim A method for determining whether asynchronous circuits are self-checking. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF low-power electronics, TSC, low noise properties, semi-modular asynchronous circuit, output stuck-at-faults, low power, fault diagnosis, logic testing, integrated circuit testing, design for testability, asynchronous circuits, testability, totally self-checking, integrated circuit noise
37Susmita Sur-Kolay, Marly Roncken, Ken S. Stevens, Parimal Pal Chaudhuri, Rob Roy Fsimac: a fault simulator for asynchronous sequential circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Fsimac, gate-level fault simulator, Muller C-elements, complex domino gates, high-speed design, min-max timing analysis, min-max rime stamps, CA-BIST, waveform model, logic testing, built-in self test, timing, cellular automata, Cellular Automata, sequential circuits, iterative methods, fault simulation, fault simulator, asynchronous circuits, stuck-at faults, iterations, delay faults, combinational logic, feedback loops, pseudo-random tests, gate-delay faults, asynchronous sequential circuits
37Takahiro Hanyu, Tsukasa Ike, Michitaka Kameyama Integration of asynchronous and self-checking multiple-valued current-mode circuits based on dual-rail differential logic. Search on Bibsonomy PRDC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF dual-rail differential logic, multiple-valued current-mode circuits, asynchronous-control circuit, logic testing, asynchronous circuits, self-checking circuit, current-mode logic, current-mode circuits
37Sridhar Narayanan, Melvin A. Breuer Asynchronous multiple scan chain. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF asynchronous multiple scan chains, scan flip-flops, control complexity, I/O pin count, DFT method, logic IC, logic testing, integrated circuit testing, design for testability, logic design, asynchronous circuits, flip-flops, integrated logic circuits, scan designs, boundary scan testing, test application time
36Zuzana Beerliová-Trubíniová, Martin Hirt, Jesper Buus Nielsen On the theoretical gap between synchronous and asynchronous MPC protocols. Search on Bibsonomy PODC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF cryptography, multi-party computation, asynchronous network, mpc
36Chun Sing Louis Tsui, John Q. Gan Asynchronous BCI Control of a Robot Simulator with Supervised Online Training. Search on Bibsonomy IDEAL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF asynchronous BCI, automated learning, Adaptation, brain-computer interface, online training
36Prakash Chandrasekaran, Christopher L. Conway, Joseph M. Joy, Sriram K. Rajamani Programming asynchronous layers with CLARITY. Search on Bibsonomy ESEC/SIGSOFT FSE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF asynchronous components, design for analyzability, concurrency, static analysis, event-driven programming
36Yoshihiro Nakaminami, Toshimitsu Masuzawa, Ted Herman A Method for Evaluating Efficiency of Protocols on the Asynchronous Shared-State Model. Search on Bibsonomy Self-Stabilizing Systems The full citation details ... 2003 DBLP  DOI  BibTeX  RDF asynchronous model, synchronous execution, linear state-transition protocol, Distributed system, distributed algorithm, time complexity
36Jacques J. A. Fournier, Simon W. Moore, Huiyun Li, Robert D. Mullins, George S. Taylor Security Evaluation of Asynchronous Circuits. Search on Bibsonomy CHES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Dual-Rail encoding, EMA, Design-time security evaluation, Asynchronous circuits, Power Analysis, Fault Analysis
36Yosi Ben-Asher, Esti Stein Basic Algorithms for the Asynchronous Reconfigurable Mes. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF APRAM, Asynchronous, Reconfigurable mesh
36Michel Hurfin, Michel Raynal A Simple and Fast Asynchronous Consensus Protocol Based on a Weak Failure Detector. Search on Bibsonomy Distributed Comput. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Fault-tolerance, Asynchronous distributed systems, Crash failures, Unreliable failure detectors, Consensus problem
36Mohsen Raji, Behnam Ghavami, Hossein Pedram Statistical static performance analysis of asynchronous circuits considering process variation. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
36Kenneth Y. Yun Recent Advances in Asynchronous Design Methodologies. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
36Jun Gu, Ruchir Puri Asynchronous circuit synthesis with Boolean satisfiability. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
36Marc Renaudin, Pascal Vivet, Frédéric Robin ASPRO-216: A Standard-Cell Q.D.I. 16-Bit RISC Asynchronous Microprocessor. Search on Bibsonomy ASYNC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF asynchronous microprocessor, quasi-delay-insensitive circuits, standard-cell asynchronous design
36Eckhard Grass, Simon Jones Asynchronous circuits based on multiple localised current-sensing completion detection. Search on Bibsonomy ASYNC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF dual rail coding, Current-Sensing Completion Detection, Current-Sensing Circuits, logic design, power consumption, asynchronous circuits, asynchronous circuits, granularity, parallel multiplier, BiCMOS
36Shannon V. Morton, Sam S. Appleton, Michael J. Liebelt ECSTAC: a fast asynchronous microprocessor. Search on Bibsonomy ASYNC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF asynchronous microprocessor, ECSTAC, two-phase communication, processor pipeline, register tagging, branch techniques, block simulation, caches, logic design, asynchronous circuits, microprocessor chips
36Alexandre Yakovlev, Victor Varshavsky, Vyacheslav Marakhovsky, Alexei L. Semenov Designing an asynchronous pipeline token ring interface. Search on Bibsonomy ASYNC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF asynchronous pipeline token ring interface, speed-independent interface, reliable communication medium, on-board multicomputer, asynchronous buses, point-to-point interconnections, syntax-driven implementation, channel protocol controller, protocols, fairness, multiprocessor interconnection networks, local area networks, pipeline processing, deadlock-freedom, token networks
35Amy Streich, Alex Kondratyev, Lief Sorensen Testing of Asynchronous Designs by "Inappropriate" Means: Synchronous Approach. Search on Bibsonomy ASYNC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF ATPG, asynchronous circuits, stuck-at faults, partial scan
35José A. Tierno, Sergey V. Rylov, Alexander V. Rylyakov, Montek Singh, Steven M. Nowick An Adaptively-Pipelined Mixed Synchronous-Asynchronous Digital FIR Filter Chip Operating at 1.3 GigaHertz. Search on Bibsonomy ASYNC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF PRML read channel, magnetic recording, asynchronous pipeline, digital arithmetic, FIR filter, dynamic logic, high-throughput, low-latency, distributed arithmetic, mixed timing
35Philip P. Shirvani, Subhasish Mitra, Jo C. Ebergen, Marly Roncken DUDES: A Fault Abstraction and Collapsing Framework for Asynchronous Circuits. Search on Bibsonomy ASYNC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF DUDES, testing, ATPG, fault model, asynchronous circuit, stuck-at fault, fault collapsing
35Kåre Tais Christensen, Peter Jensen, Peter Korger, Jens Sparsø The Design of an Asynchronous TinyRISCTM TR4101 Microprocessor Core. Search on Bibsonomy ASYNC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Asynchronous circuits and systems, low-power, microprocessor design
35W. J. Bainbridge, Stephen B. Furber Asynchronous Macrocell Interconnect using MARBLE. Search on Bibsonomy ASYNC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Macrocell Bus, VLSI, Interconnect, Asynchronous
35Ross Smith, Karl Fant, Dave Parker, Rick Stephani, Ching-Yi Wang An Asynchronous 2-D Discrete Cosine Transform Chip. Search on Bibsonomy ASYNC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF DCT, asynchronous, threshold logic, bit-serial
35D. J. Kinniment, Alexandre Yakovlev, Fei Xia, B. Gao Towards Asynchronous A-D Conversion. Search on Bibsonomy ASYNC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF analogue to digital conversion, synchronisers, asynchronous circuits, arbitration, signal transition graphs, metastability
35Cheoljoo Jeong, Steven M. Nowick Optimal Technology Mapping and Cell Merger for Asynchronous Threshold Networks. Search on Bibsonomy ASYNC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Hans M. Jacobson, Erik Brunvand, Ganesh Gopalakrishnan, Prabhakar Kudva High-Level Asynchronous System Design Using the ACK Framework. Search on Bibsonomy ASYNC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
34Garth Baulch, David Hemmendinger, Cherrice Traver Analyzing and verifying locally clocked circuits with the concurrency workbench. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF locally clocked circuits, concurrency workbench, synchronous computational elements, concurrent system modelling, CCS process algebra, formal verification, timing, logic design, process algebra, logic CAD, asynchronous circuits, asynchronous circuits, circuit analysis computing, asynchronous communication
33Anthony J. McAuley Four State Asynchronous Architectures. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF four state asynchronous architectures, asynchronous wavefront array, one-dimensional multipliers, two-dimensional sorter, reliability, throughput, sequential circuits, many-valued logics, design complexity, asynchronous sequential logic
33Weiguo Wang An Asynchronous Two-Dimensional Self-Correcting Cellular Automaton Search on Bibsonomy FOCS The full citation details ... 1991 DBLP  DOI  BibTeX  RDF fault-free global synchronization clock, asynchronous two-dimensional self-correcting cellular automaton, arbitrary size, homogeneous asynchronous array, asynchronous environment, reliability, probability, reliable computation
33Leonard R. Marino The Effect of Asynchronous Inputs on Sequential Network Reliability. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1977 DBLP  DOI  BibTeX  RDF Asynchronous arbiter, asynchronous sequential networks, flip-flop oscillations, inertial delay, input synchronization, metastable state, Schmitt trigger, synchronous sequential networks, reliability, asynchronous interactions
33Achour Mostéfaoui, Sergio Rajsbaum, Michel Raynal Synchronous condition-based consensus. Search on Bibsonomy Distributed Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Early deciding, Consensus, Input vector, Synchronous distributed system, Process crash failure
33Sufian Sudeng, Arthit Thongtak Template Based: A Novel STG Based Logic Synthesis for Asynchronous Control Circuits. Search on Bibsonomy World Congress on Engineering (Selected Papers) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF asynchronous control circuits, asynchronous DMA controller, template based technique, logic synthesis, Signal Transition Graph (STG)
33Christof Fetzer Perfect Failure Detection in Timed Asynchronous Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Perfect failure detection, timed asynchronous system model, asynchronous distributed systems, crash failures
33Victor Varshavsky, Vyacheslav Marakhovsky GALA Approach in Design of Asynchronous Control for Counterflow Pipeline Processor. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF GALA - Globally Asynchronous Locally Arbitrary, Counterflow Pipeline Processor, Synchronous Prototype, Arbitration, Asynchronous Design
33Donna Dufner, Ojoung Kwon, William Rogers Enriching Asynchronous Learning Networks through the Provision of Virtual Collaborative Learning Spaces: A Research Pilot. Search on Bibsonomy HICSS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Virtual Workgroup Environment, Web-enabled GDSS, Cooperative Document Production, Asynchronous Mode of Communication, Collaborative Learning, Distance Learning, Online Learning, Cooperative Learning, Collaboratories, Asynchronous Learning Networks
Displaying result #1 - #100 of 18148 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license