The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase built-in-self-testing (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1986-1992 (15) 1993-1997 (16) 1998-2004 (17) 2005-2016 (15) 2017-2020 (4)
Publication types (Num. hits)
article(32) inproceedings(34) phdthesis(1)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 89 occurrences of 58 keywords

Results
Found 67 publication records. Showing 67 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
52Amit Laknaur, Sai Raghuram Durbha, Haibo Wang 0005 Built-In-Self-Testing Techniques for Programmable Capacitor Arrays. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF programmable capacitor array, built-in-self-testing, analog testing, field programmable analog array
45Krishnendu Chakrabarty, John P. Hayes Balance testing and balance-testable design of logic circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF built-in self testing, design for testability, fault detection, fault coverage, testing methods
37Nur A. Touba, Edward J. McCluskey Applying two-pattern tests using scan-mapping. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF scan-mapping, combinational mapping logic, logic testing, built-in self test, built-in self-testing, fault coverage, delay faults, pseudo-random testing, deterministic testing, two-pattern tests
32Amit Laknaur, Haibo Wang 0005 Built-In-Self-Testing Techniques for Programmable Capacitor Arrays. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
32Kwanghyun Kim, Dong Sam Ha, Joseph G. Tront On using signature registers as pseudorandom pattern generators in built-in self-testing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
32Sandeep K. Venishetti, Ali Akoglu, Rahul Kalra Hierarchical Built-in Self-testing and FPGA Based Healing Methodology for System-on-a-Chip. Search on Bibsonomy AHS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Imtiaz P. Shaik, Michael L. Bushnell Circuit design for low overhead delay-fault BIST using constrained quadratic 0-1 programming . Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF low overhead delay-fault BIST, constrained quadratic 0-1 programming, built-in self testing model, weighted signed graph balancing problem, VLSI, logic testing, delays, built-in self test, integrated circuit testing, logic design, automatic testing, integrated circuit design, quadratic programming, circuit design, digital integrated circuits, hazards and race conditions
30Imtiaz P. Shaik, Michael L. Bushnell A graph approach to DFT hardware placement for robust delay fault BIST. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF graph heuristic, DFT hardware placement, robust delay fault BIST, ULSI circuit, built-in self-testing model, design for testability hardware, hazard free structure, graph theory, logic testing, delays, built-in self test, integrated circuit testing, design for testability, fault location, digital integrated circuits, ULSI
29Debesh K. Das, Indrajit Chaudhuri, Bhargab B. Bhattacharya Design of an Optimal Test Pattern Generator for Built-in Self Testing of Path Delay Faults. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Built-in self-test, TPG, delay faults, robust testing, two-pattern tests
27Jianxun Liu, Wen-Ben Jone An efficient routing method for pseudo-exhaustive built-in self-testing of high-speed interconnects. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Shambhu J. Upadhyaya, Kewal K. Saluja A new approach to the design of built-in self-testing PLAs for high fault coverage. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
27S. B. Tan, K. Totton, Keith Baker, Prab Varma, R. Porter A Fast Signature Simulation Tool for Built-In Self-Testing Circuits. Search on Bibsonomy DAC The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
24Marco Balboni, Davide Bertozzi Transparent lifetime built-in self-testing of networks-on-chip through the selective non-concurrent testing of their communication channels. Search on Bibsonomy AISTECS@HiPEAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
24Kim T. Le, Kewal K. Saluja A Novel Approach for Testing Memories Using a Built-In Self Testing Technique. Search on Bibsonomy ITC The full citation details ... 1986 DBLP  BibTeX  RDF
23Nicola Caselli, Alessandro Strano, Daniele Ludovici, Davide Bertozzi Cooperative Built-in Self-Testing and Self-Diagnosis of NoC Bisynchronous Channels. Search on Bibsonomy MCSoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
23Pinaki Mazumder An Integrated Built-In Self-Testing and Self-Repair of VLSI/WSI Hexagonal Arrays. Search on Bibsonomy ITC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
23Josep Altet, André Ivanov, A. Wong Thermal Testing of Analogue Integrated Circuits: A Case Study. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF test of analogue ICs, thermal analysis of ICs, built-in self-testing, CMOS technology, thermal testing
23Josep Altet, Antonio Rubio 0001, Wilfrid Claeys, Stefan Dilhaire, Emmanuel Schaub, Hideo Tamamoto Differential Thermal Testing: An Approach to its Feasibility. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF test of integrated circuits, built-in self testing, thermal testing, thermal sensors
23Mohamed Soufi, Yvon Savaria, F. Darlay, Bozena Kaminska Producing Reliable Initialization and Test of Sequential Circuits with Pseudorandom Vectors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1995 DBLP  DOI  BibTeX  RDF full reset, initialization of sequential circuits, modelization of sequential circuits, Markov chain processes, Built-in self-testing, pseudorandom testing, testability measures, partial reset
23C. L. Chen Exhaustive Test Pattern Generation Using Cyclic Codes. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF exhaustive test pattern generation, punctured cyclic codes, VLSI, logic testing, built-in self testing, automatic testing, codes, linear feedback shift registers, VLSI circuits
23Kozo Kinoshita, Kewal K. Saluja Built-In Testing of Memory Using an On-Chip Compact Testing Scheme. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1986 DBLP  DOI  BibTeX  RDF weight-sensitive faults, random- access memory (RAM), Built-in self-testing (BIST), stuck-at faults, built-in testing (BIT), pattern-sensitive faults, hardware complexity
20Krishnendu Chakrabarty, John P. Hayes Cumulative balance testing of logic circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
19Jürgen Maier 0002, Andreas Steininger Online Test Vector Insertion: A Concurrent Built-In Self-Testing (CBIST) Approach for Asynchronous Logic. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
19Chunmei Hu, Zhenyang Zhang, Yang Guo, Jingyan Xu A Implementation for Built-in Self-Testing of RapidIO by JTAG. Search on Bibsonomy ASICON The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
19Szu Huat Goh, Y. H. Chan, Zhao Lin, Jeffrey Lam Concurrent built-in self-testing under the constraint of shared test resources and its test time reduction. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
19Gabriele Miorandi, Alberto Celin, Michele Favalli, Davide Bertozzi A built-in self-testing framework for asynchronous bundled-data NoC switches resilient to delay variations. Search on Bibsonomy NOCS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Jürgen Maier 0002, Andreas Steininger Online test vector insertion: A concurrent built-in self-testing (CBIST) approach for asynchronous logic. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Alessandro Strano, Nicola Caselli, Simone Terenzi, Davide Bertozzi Optimising pseudo-random built-in self-testing of fully synchronous as well as multisynchronous networks-on-chip. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Alessandro Strano, Davide Bertozzi, Arnaud Grasset, Sami Yehia Exploiting structural redundancy of SIMD accelerators for their built-in self-testing/diagnosis and reconfiguration. Search on Bibsonomy ASAP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Sunil R. Das, Altaf Hossain, Satyendra Biswas, Emil M. Petriu, Mansour H. Assaf, Wen-Ben Jone, Mehmet Sahinoglu On a New Graph Theory Approach to Designing Zero-Aliasing Space Compressors for Built-In Self-Testing. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Miguel Angel Domínguez, José L. Ausín, J. Francisco Duque-Carrillo, Guido Torelli A high-quality sine-wave oscillator for analog built-in self-testing. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Vinod Narayanan, Swaroop Ghosh, Wen-Ben Jone, Sunil R. Das A built-in self-testing method for embedded multiport memory arrays. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Omar I. Khan, Michael L. Bushnell Spectral Analysis for Statistical Response Compaction During Built-In Self-Testing. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Alfredo Benso, Stefano Di Carlo, Giorgio Di Natale, Paolo Prinetto, Monica Lobetti Bodoni Programmable built-in self-testing of embedded RAM clusters in system-on-chip architectures. Search on Bibsonomy IEEE Commun. Mag. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Sunil R. Das, Made Sudarma, Mansour H. Assaf, Emil M. Petriu, Wen-Ben Jone, Krishnendu Chakrabarty, Mehmet Sahinoglu Parity bit signature in response data compaction and built-in self-testing of VLSI circuits with nonexhaustive test sets. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Krishnendu Chakrabarty, Shivakumar Swaminathan Built-in self testing of high-performance circuits using twisted-ring counters. Search on Bibsonomy ISCAS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Vikram Iyengar, Krishnendu Chakrabarty, Brian T. Murray Built-In Self Testing of Sequential Circuits Using Precomputed Test Sets. Search on Bibsonomy VTS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
19O. A. Petlin, Stephen B. Furber Built-In Self-Testing of Micropipelines. Search on Bibsonomy ASYNC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Built-in self-test, Design for test, Asynchronous design, Micropipelines
19Krishnendu Chakrabarty, Jian Liu, Minyao Zhu, Brian T. Murray Test Width Compression for Built-In Self Testing. Search on Bibsonomy ITC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
19Krishnendu Chakrabarty Test response compaction for built-in self testing. Search on Bibsonomy 1995   RDF
19Mashkuri Yaacob, Ibrahim Abubakr A Built-in Self-Testing Bit Slice Microprocessor. Search on Bibsonomy Applied Informatics The full citation details ... 1994 DBLP  BibTeX  RDF
19Franc Novak, Nenad Sutanovac, Roman Trobec Built-in self testing of communications systems using ASIC technology. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
19Scott Chiu, Christos A. Papachristou A Built-In Self-Testing Approach for Minimizing Hardware Overhead. Search on Bibsonomy ICCD The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
19Manoj Franklin, Kewal K. Saluja Built-in Self-testing of Random-Access Memories. Search on Bibsonomy Computer The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
19Kewal K. Saluja, Siew H. Sng, Kozo Kinoshita Built-In Self-Testing RAM: A Practical Alternative. Search on Bibsonomy IEEE Des. Test The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
19R. Kh. Latypov Built-in Self-testing of Logic Circuits Using Imperfect Duplication. Search on Bibsonomy FCT The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
19Sunil K. Jain, Charles E. Stroud Built-in Self Testing of Embedded Memories. Search on Bibsonomy IEEE Des. Test The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
17R. P. van Riessen, Hans G. Kerkhoff, A. Kloppenburg Designing and Implementing an Architecture with Boundary Scan. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
15Wen-Ben Jone, Anita Gleason Analysis of Hamming count compaction scheme. Search on Bibsonomy J. Electron. Test. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF index vector, spectral coefficients, Built-in self test, compaction, syndrome
15Mihalis Psarakis, Dimitris Gizopoulos, Antonis M. Paschalis Built-in sequential fault self-testing of array multipliers. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Kanad Chakraborty, Pinaki Mazumder A programmable boundary scan technique for board-level, parallel functional duplex march testing of word-oriented multiport static RAMs. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
12Sunil R. Das, Chuan Jin, Liwu Jin, Mansour H. Assaf, Emil M. Petriu, Mehmet Sahinoglu Altera Max Plus II Development Environment in Fault Simulation and Test Implementation of Embedded Cores-Based Sequential Circuits. Search on Bibsonomy IWDC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Wen-Ben Jone, Sunil R. Das A Stochastic Method for Defect Level Analysis of Pseudorandom Testing. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF defect level analysis, differential equation, VLSI testing, pseudorandom testing
10Emil Gizdarski Detection of Delay Faults in Memory Address Decoders. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Built-In Self-Test, delay testing, stuck-open faults, RAM testing
10Vikram Iyengar, Krishnendu Chakrabarty, Brian T. Murray Deterministic Built-in Pattern Generation for Sequential Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Comma coding, pattern decoding, statistical encoding, BIST, Huffman coding, run-length encoding, embedded-core testing, sequential circuit testing
10N. R. Shnidman, William H. Mangione-Smith, Miodrag Potkonjak On-line fault detection for bus-based field programmable gate arrays. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10Dimitrios Kagaris, Spyros Tragoudas Avoiding linear dependencies in LFSR test pattern generators. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF ATPG, BIST, LFSR, characteristic polynomials, pseudo-random testing
10Shambhu J. Upadhyaya, John A. Thodiyil BIST PLAs, Pass or Fail - A Case Study. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
7Hari Vijay Venkatanarayanan, Michael L. Bushnell A Jitter Reduction Circuit Using Autocorrelation for Phase-Locked Loops and Serializer-Deserializer (SERDES) Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
7Omar I. Khan, Michael L. Bushnell Aliasing Analysis of Spectral Statistical Response Compaction Techniques. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
7Mansour H. Assaf, Rami S. Abielmona, Payam Abolghasem, Sunil R. Das, Emil M. Petriu, Voicu Groza, Mehmet Sahinoglu Implementation of Embedded Cores-Based Digital Devices in JBits Java Simulation Environment. Search on Bibsonomy CIT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
7Wen-Ben Jone, Der-Cheng Huang, S. C. Wu, Kuen-Jong Lee An efficient BIST method for distributed small buffers. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
7Wen-Ben Jone, Der-Cheng Huang, S. C. Wu, Kuen-Jong Lee An Efficient BIST Method for Small Buffers. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
7Krishnendu Chakrabarty Zero-aliasing space compaction using linear compactors with bounded overhead. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
7Karim Arabi, Bozena Kaminska Parametric and Catastrophic Fault Coverage of Analog Circuits in Oscillation-Test Methodology. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Oscillation-Test Method, Parametric Fault Coverage, Analog Testing, Mixed-Signal Circuits
7Krishnendu Chakrabarty, John P. Hayes Test response compaction using multiplexed parity trees. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
7Wen-Ben Jone, Christos A. Papachristou A coordinated circuit partitioning and test generation method for pseudo-exhaustive testing of VLSI circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #67 of 67 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license