The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for bumping with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1992-2006 (20) 2007-2012 (15) 2013-2022 (11)
Publication types (Num. hits)
article(25) inproceedings(21)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 31 occurrences of 27 keywords

Results
Found 46 publication records. Showing 46 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
99Erik Beckert, Thomas Burkhardt, Ramona Eberhardt, Andreas Tünnermann Solder Bumping - A Flexible Joining Approach for the Precision Assembly of Optoelectronical Systems. Search on Bibsonomy IPAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF laser beam soldering, optics, microassembly, jetting, bumping
90Pragnesh Jay Modi, Manuela M. Veloso Bumping strategies for the multiagent agreement problem. Search on Bibsonomy AAMAS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF distributed constraint optimization
71Noam Tractinsky, David Shinar Chi'08 alt.chi / do we bump into things more while speaking on a cell phone? Search on Bibsonomy CHI Extended Abstracts The full citation details ... 2008 DBLP  DOI  BibTeX  RDF speaking, safety, cell phones, automaticity, walking, visual field, dual task, bumping
69Hui Gao, James W. Davis Sampling Representative Examples for Dimensionality Reduction and Recognition - Bootstrap Bumping LDA. Search on Bibsonomy ECCV (3) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
50Hasan Arslan, Shantanu Dutt An effective hop-based detailed router for FPGAs for optimizing track usage and circuit performance. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF bump and refit paradigm, bumping cost, hop-based routing, switchbox, FPGAs, detailed routing, MST
41Alexander Kolker Process Modeling of ICU Patient Flow: Effect of Daily Load Leveling of Elective Surgeries on ICU Diversion. Search on Bibsonomy J. Medical Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Elective surgery, Daily load leveling, Schedule smoothing, ICU diversion, Patient flow simulation, What-if scenarios
29Shantanu Dutt, Vinay Verma, Hasan Arslan A search-based bump-and-refit approach to incremental routing for ECO applications in FPGAs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Bump-and-refit (B&R) paradigm, ECO (engineering change order), bumping cost, incremental routing, switchbox, field programmable gate arrays, dynamic programming, global routing, detailed routing
28Qiayuan Liao, Zhefeng Cao, Hua Chen 0007, Wei Zhang 0013 Real-time Trajectory Optimization and Control for Ball Bumping with Quadruped Robots. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
28Alexander Raistrick, Nilesh Kulkarni, David F. Fouhey Collision Replay: What Does Bumping Into Things Tell You About Scene Geometry? Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
28Jinwoo Kim, Lingjun Zhu, Hakki Mert Torun, Madhavan Swaminathan, Sung Kyu Lim Micro-bumping, Hybrid Bonding, or Monolithic? A PPA Study for Heterogeneous 3D IC Options. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
28Alexander Raistrick, Nilesh Kulkarni, David Fouhey Collision Replay: What Does Bumping Into Things Tell You About Scene Geometry? Search on Bibsonomy BMVC The full citation details ... 2021 DBLP  BibTeX  RDF
28Arvind Ayyer, Jérémie Bouttier, Sylvie Corteel, Svante Linusson, François Nunzi Bumping sequences and multispecies juggling. Search on Bibsonomy Adv. Appl. Math. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
28Sima Jamali, David Mitchell Improving SAT Solver Performance with Structure-based Preferential Bumping. Search on Bibsonomy GCAI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
28Dan Romik, Piotr Sniady Limit shapes of bumping routes in the Robinson-Schensted correspondence. Search on Bibsonomy Random Struct. Algorithms The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
28Sébastien Gallois-Garreignot, Naceur Benzima, Etienne Benmussa, Caroline Moutin, Pierre-Olivier Bouchard, Vincent Fiori, Clément Tavernier Qualification of bumping processes: Experimental and numerical investigations on mechanical stress and failure modes induced by shear test. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
28Guang Tan, Mingming Lu, Fangsheng Jiang, Kongyang Chen, Xiaoxia Huang, Jie Wu 0001 Bumping: A Bump-Aided Inertial Navigation Method for Indoor Vehicles Using Smartphones. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
28Vincent Fiori, Komi-Atchou Ewuame, Sébastien Gallois-Garreignot, Hervé Jaouen, Clément Tavernier Numerical analysis of thermo-mechanical and mobility effects for 28 nm node and beyond: Comparison and design consequences over bumping technologies. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
28Vincent Fiori, Sébastien Gallois-Garreignot, Hervé Jaouen, Clément Tavernier Strain engineering for bumping over IPs: Numerical investigations of thermo-mechanical stress induced mobility variations for CMOS 32 nm and beyond. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
28Murat Sariyar, Andreas Borg Bagging, bumping, multiview, and active learning for record linkage with empirical results on patient identity data. Search on Bibsonomy Comput. Methods Programs Biomed. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
28Gregory Dobson, Hsiao-Hui Lee, Edieal J. Pinker A Model of ICU Bumping. Search on Bibsonomy Oper. Res. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
28Taho Yang, Yuan-Ting Cheng The use of Mahalanobis-Taguchi System to improve flip-chip bumping height inspection efficiency. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
28Sergei Skorobogatov Flash Memory 'Bumping' Attacks. Search on Bibsonomy CHES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
28Eiji Higurashi, Daisuke Chino, Tadatomo Suga Residue-Free Solder Bumping Using Small AuSn Particles by Hydrogen Radicals. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
28Yann Civale, Deniz Sabuncuoglu Tezcan, Harold G. G. Philipsen, P. Jaenen, Rahul Agarwal, F. Duval, Philippe Soussan, Youssef Travaly, Eric Beyne Die stacking using 3D-wafer level packaging copper/polymer through-si via technology and Cu/Sn interconnect bumping. Search on Bibsonomy 3DIC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
28Chen-Fu Chien 0001, Chih-Han Hu, Chi-Yung Lin Analysing inspection frequency for wafer bumping process and an empirical study of UNISON decision framework. Search on Bibsonomy Int. J. Manuf. Technol. Manag. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Chih-Hung Li, Wen-Hsiao Peng, Tihao Chiang Design of Memory Sub-System with Constant-Rate Bumping Process for H.264/AVC Decoder. Search on Bibsonomy IEEE Trans. Consumer Electron. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Chen-Fu Chien 0001, Huan-Chung Li, Angus Jeang Data mining for improving the solder bumping process in the semiconductor packaging industry. Search on Bibsonomy Intell. Syst. Account. Finance Manag. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Jinseog Kim, Yongdai Kim Maximum a posteriori pruning on decision trees and its application to bootstrap BUMPing. Search on Bibsonomy Comput. Stat. Data Anal. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Kuo-Ming Chen, J. D. Wu, Kuo-Ning Chiang Effects of pre-bump probing and bumping processes on eutectic solder bump electromigration. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Peter A. Gruber, Luc Bélanger, Guy P. Brouillette, David H. Danovitch, Jean-Luc Landreville, David T. Naugle, Valerie A. Oberson, Da-Yuan Shih, Chris L. Tessler, Michel R. Turgeon Low-cost wafer bumping. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Pragnesh Jay Modi, Manuela M. Veloso Bumping Strategies for the Private Incremental Multiagent Agreement Problem. Search on Bibsonomy AAAI Spring Symposium: Persistent Assistants: Living and Working with AI The full citation details ... 2005 DBLP  BibTeX  RDF
28Dionysios Manessis, Rainer Patzelt, Andreas Ostmann, Rolf Aschenbrenner, Herbert Reichl Technical challenges of stencil printing technology for ultra fine pitch flip chip bumping. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Andrew J. G. Strandjord, Scott Popelar, Christine Jauernig Interconnecting to aluminum- and copper-based semiconductors (electroless-nickel/gold for solder bumping and wire bonding). Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
28Xingsheng Liu, Shuangyan Xu, Guo-Quan Lu, David A. Dillard Stacked solder bumping technology for improved solder joint reliability. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
28Tom Heskes Balancing Between Bagging and Bumping. Search on Bibsonomy NIPS The full citation details ... 1996 DBLP  BibTeX  RDF
28Kazuyuki Nagata, Tsukasa Ogasawara, Toru Omata Optimum Velocity Vector of Articulated Robot for Soft Bumping. Search on Bibsonomy J. Robotics Mechatronics The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
21Xianghong Sun, Weina Qu, Thomas Plocher, Li Wang A Study of Fire Information Detection on PDA Device. Search on Bibsonomy HCI (3) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Information detection, PDA, fire
21Shuanghui Hao, Weifeng Zheng, Minghui Hao, Jie Liu, Hong Li Simulation and Development Environment for Digital Controlled PMSM Servo System. Search on Bibsonomy ICIRA (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF PMSM servo system, closed-loop simulation, Reverse Polish Notation, Runge-Kutta
21Frank Hoeller, Dirk Schulz 0001, Mark Moors, Frank E. Schneider Accompanying persons with a mobile robot using motion prediction and probabilistic roadmaps. Search on Bibsonomy IROS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Haogang Chen 0002, Qicheng Li, Guoping Wang, Feng Zhou 0004, Xiaohui Tang, Kun Yang An Efficient Method for Real-Time Ocean Simulation. Search on Bibsonomy Edutainment The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Hsien-Hsi Hsieh, Wen-Kai Tai A Straightforward and Intuitive Approach on Generation and Display of Crack-Like Patterns on 3D Objects. Search on Bibsonomy Computer Graphics International The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Crack-like pattern, Cracking propagation, Rendering
21Chul Hong Min, Tae-Seon Kim Modeling of Micro Spring Tension Force for Vertical Type Probe Card Fabrication. Search on Bibsonomy ISNN (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Ken Hinckley Distributed and local sensing techniques for face-to-face collaboration. Search on Bibsonomy ICMI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF co-present collaboration, sensing techniques, context awareness
21Ken Hinckley Synchronous gestures for multiple persons and computers. Search on Bibsonomy UIST The full citation details ... 2003 DBLP  DOI  BibTeX  RDF distributed sensor systems, ubiquitous computing, context awareness, sensors, input devices, multi-user interfaces
21Steve Mann 0001 Eudaemonic Computing ("underwearables"). Search on Bibsonomy ISWC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF eudaemonic computing, eudaemonic space, humanistic intelligence, existential technology, wearable computing, vibrotactile
21Sy-Yen Kuo YOR: a yield-optimizing routing algorithm by minimizing critical areas and vias. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #46 of 46 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license