The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for fluctuations with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1953-1988 (16) 1989-1993 (20) 1994-1995 (17) 1996-1997 (22) 1998 (20) 1999 (21) 2000 (30) 2001 (35) 2002 (52) 2003 (64) 2004 (103) 2005 (122) 2006 (129) 2007 (149) 2008 (165) 2009 (116) 2010 (49) 2011 (56) 2012 (51) 2013 (53) 2014 (57) 2015 (68) 2016 (63) 2017 (71) 2018 (86) 2019 (70) 2020 (74) 2021 (99) 2022 (89) 2023 (90) 2024 (21)
Publication types (Num. hits)
article(1058) book(1) incollection(12) inproceedings(995) phdthesis(12)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 964 occurrences of 732 keywords

Results
Found 2078 publication records. Showing 2078 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
101Ralf Schmitz, Marc Torrent-Moreno, Hannes Hartenstein, Wolfgang Effelsberg The Impact of Wireless Radio Fluctuations on Ad Hoc Network Performance. Search on Bibsonomy LCN The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Radio fluctuations, ad hoc network performance, topological change rate, link stability
94Mladen I. Glavinovic, P. Gooria, F. Aristizabal, Hamid D. Taghirad Parametric spectral analysis of nonstationary fluctuations of excitatory synaptic currents. Search on Bibsonomy Biol. Cybern. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
78Amarda Shehu, Cecilia Clementi, Lydia E. Kavraki Sampling Conformation Space to Model Equilibrium Fluctuations in Proteins. Search on Bibsonomy Algorithmica The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
70Roger Cerf, El Hassan El Ouasdad, Philippe Kahane Criticality and synchrony of fluctuations in rhythmical brain activity: pretransitional effects in epileptic patients. Search on Bibsonomy Biol. Cybern. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
69Yun Ye, Frank Liu 0001, Sani R. Nassif, Yu Cao 0001 Statistical modeling and simulation of threshold variation under dopant fluctuations and line-edge roughness. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF atomistic simulations, line-edge roughness, non-rectangular gate, random dopant fluctuations, threshold variation, predictive modeling, SPICE simulation
69Peerapol Tinnakornsrisuphap, Richard J. La Characterization of queue fluctuations in probabilistic AQM mechanisms. Search on Bibsonomy SIGMETRICS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF queue fluctuations, active queue management, central limit theorem
62Sujay Sanghavi, Bruce E. Hajek Adaptive induced fluctuations for multiuser diversity. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
62D. Miyawaki, Shizunori Matsumoto, Hans Jürgen Mattausch, S. Ooshiro, Masami Suetake, Mitiko Miura-Mattausch, Shigetaka Kumashiro, Tetsuya Yamaguchi, Kyoji Yamashita, Noriaki Nakayama Correlation method of circuit-performance and technology fluctuations for improved design reliability. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
53Lei Zhang 0033, Zhiping Yu, Xiangqing He A Statistical Characterization of CMOS Process Fluctuations in Subthreshold Current Mirrors. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF CMOS Process Fluctuations, Subthreshold Current Mirror, Discrete Martingale, Probability, Random Variable
51Norman G. Gunther, Emad Hamadeh, Darrell Niemann, Iliya Pesic, Mahmud Rahman Modeling Intrinsic Fluctuations in Decananometer MOS Modeling Intrinsic Fluctuations in Decananometer MOS. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
38Tobias Frank, Anja Klein 0002, Thomas Haustein A Survey on the Envelope Fluctuations of DFT Precoded OFDMA Signals. Search on Bibsonomy ICC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
38Ranjith Kumar, Volkan Kursun Impact of temperature fluctuations on circuit characteristics in 180nm and 65nm CMOS technologies. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
38Kenji Matsuo, Masayuki Hashimoto, Atsushi Koike A Dictionary Registration Method for Reducing Lighting Fluctuations in Subspace Face Recognition. Search on Bibsonomy PCM (2) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
38Emrah Acar, Sani R. Nassif, Lawrence T. Pileggi A Linear-Centric Simulation Framework for Parametric Fluctuations. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
32Michael J. Anderson, Azadeh Davoodi, Jungseob Lee, Abhishek A. Sinkar, Nam Sung Kim Statistical static timing analysis considering leakage variability in power gated designs. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF process variations, leakage, power gating, ssta
32Yiming Li 0005, Chih-Hong Hwang, Ta-Ching Yeh, Tien-Yeh Li Large-scale atomistic approach to random-dopant-induced characteristic variability in nanoscale CMOS digital and high-frequency integrated circuits. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF device variability, high frequency circuit, random dopant, timing, digital circuit, fluctuation
32Andreas Pflug, Michael Siemers, Bernd Szyszka Parallel DSMC Gasflow Simulation of an In-Line Coater for Reactive Sputtering. Search on Bibsonomy PVM/MPI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Tomas Kalibera, Petr Tuma 0001 Precise Regression Benchmarking with Random Effects: Improving Mono Benchmark Results. Search on Bibsonomy EPEW The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Kim M. Hazelwood, David M. Brooks Eliminating voltage emergencies via microarchitectural voltage control feedback and dynamic optimization. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF voltage emergencies, power-aware computing, hardware-software co-design, dI/dt
31Jorge F. Mejías, Joaquín J. Torres The role of synaptic facilitation in spike coincidence detection. Search on Bibsonomy J. Comput. Neurosci. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Short-term depression and facilitation, Detection of correlated signals, Synaptic fluctuations
31Larisa Rizvanovic, Damir Isovic, Gerhard Fohler Integrated Global and Local Quality-of-Service Adaptation in Distributed, Heterogeneous Systems. Search on Bibsonomy EUC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF resource limitations and fluctuations, heterogenous systems, networked architectures, distributed resource management, Quality-of-Service adaptation
31Rudie van de Haar, Jaap Hoekstra Simulation of a Neural Node Using SET Technology. Search on Bibsonomy ICES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Single-electron tunneling (SET), Random Background Charge (RBC) fluctuations, McCulloch and Pitts Neuron, Low Power, SPICE
31Wim Verhaegen, Geert Van der Plas, Georges G. E. Gielen Automated test pattern generation for analog integrated circuits. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF automated test pattern generation, generated fault list, optimal test signals, statistical fluctuations, statistical test criterion, ATPG algorithm, analogue integrated circuits, analog integrated circuits
31Hassan Ihs, Christian Dufaza Tolerance DC bands of CMOS operational amplifier. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF tolerance DC bands, CMOS operational amplifier, DC node voltages, data tolerance bands, foundry process fluctuations, DC branch current, OA, supply voltage, catastrophic defects, transistor connections, optimization, fault diagnosis, integrated circuit testing, fault detection, fault model, fault simulation, circuit optimisation, operational amplifiers, integrated circuit modelling, transistor size, CMOS analogue integrated circuits, design parameters
30Fengzhong Wang, Kazuko Yamasaki, Shlomo Havlin, Harry Eugene Stanley Return Intervals Approach to Financial Fluctuations. Search on Bibsonomy Complex (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Financial marekts, Econophysics, Return interval, Long-term correlation, Scaling, Volatility
30Yuo-Hsien Shiau Detecting Well-Harmonized Homeostasis in Heart Rate Fluctuations. Search on Bibsonomy BMEI (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Masashi Aono, Masahiko Hara Amoeba-Based Nonequilibrium Neurocomputer Utilizing Fluctuations and Instability. Search on Bibsonomy UC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Bottom-up technology, Physarum, Optimization, Chaos
30Chung-Yu Chang, Wei-Bin Yang, Ching-Ji Huang, Cheng-Hsing Chien New Power Gating Structure with Low Voltage Fluctuations by Bulk Controller in Transition Mode. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Sherif A. Tawfik, Volkan Kursun Dual-V_DD Clock Distribution for Low Power and Minimum Temperature Fluctuations Induced Skew. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Kaoru Hiramatsu, Takashi Hattori, Tatsumi Yamada, Takeshi Okadome A Simple Probabilistic Analysis of Sensor Data Fluctuations in the Real World. Search on Bibsonomy AINA (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Rui Dinis 0001, Alberto Palhau A class of signal-processing schemes for reducing the envelope fluctuations of CDMA signals. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Ali Keshavarzi, Gerhard Schrom, Stephen Tang, Sean Ma, Keith A. Bowman, Sunit Tyagi, Kevin Zhang 0001, Tom Linton, Nagib Hakim, Steven G. Duvall, John Brews, Vivek De Measurements and modeling of intrinsic fluctuations in MOSFET threshold voltage. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Vt mismatch, Vt variation, random dopant variation, threshold voltage variation, transistor mismatch, transistor threshold voltage mismatch, process variation, CMOS, integrated circuits, variation, transistors, threshold voltage, mismatch, body bias, Vt
30Giancarlo La Camera, Alexander Rauch, Walter Senn, Hans-Rudolf Lüscher, Stefano Fusi Firing Rate Adaptation without Losing Sensitivity to Input Fluctuations. Search on Bibsonomy ICANN The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
30Xinghai Tang, Vivek De, James D. Meindl Intrinsic MOSFET parameter fluctuations due to random dopant placement. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
30Wolfgang Krueger Intensity fluctuations and natural texturing. Search on Bibsonomy SIGGRAPH The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
28Théophile Bonnet Analysis of fluctuations and correlations in kinetic Monte Carlo methods. (Analyse des fluctuations et des corrélations dans les méthodes Monte-Carlo cinétiques). Search on Bibsonomy 2023   RDF
28Théo Dessertaine Large macroeconomic fluctuations: self-organized criticality in firm networks, Agent Based Models and random matrices. (Grandes fluctuations macroeconomiques: criticalité auto-organisée dans les réseaux d'entreprise, modèles basés agents et matrices aléatoires). Search on Bibsonomy 2022   RDF
28Wei Liao 0001, Huafu Chen, Jiao Li, Gong-Jun Ji, Guo-Rong Wu 0003, Zhiliang Long, Qiang Xu, Xujun Duan, Qian Cui, Bharat B. Biswal Endless Fluctuations: Temporal Dynamics of the Amplitude of Low Frequency Fluctuations. Search on Bibsonomy IEEE Trans. Medical Imaging The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
28Olivia Viessmann, Harald E. Möller, Peter Jezzard Cardiac cycle-induced EPI time series fluctuations in the brain: Their temporal shifts, inflow effects and T2∗ fluctuations. Search on Bibsonomy NeuroImage The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
28Jianfeng Yao Estimation and fluctuations of functionals of large random matrices. (Estiamation et fluctuations de fonctionnelles de grandes matrices aléatoires). Search on Bibsonomy 2013   RDF
28Rasmus M. Birn, Jason B. Diamond, Monica A. Smith, Peter A. Bandettini Separating respiratory-variation-related fluctuations from neuronal-activity-related fluctuations in fMRI. Search on Bibsonomy NeuroImage The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Indre Zliobaite, Jorn Bakker, Mykola Pechenizkiy OMFP: An Approach for Online Mass Flow Prediction in CFB Boilers. Search on Bibsonomy Discovery Science The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
24Andriy Ivannikov, Mykola Pechenizkiy, Jorn Bakker, Timo Leino, Mikko Jegoroff, Tommi Kärkkäinen, Sami Äyrämö Online Mass Flow Prediction in CFB Boilers. Search on Bibsonomy ICDM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
24Bor-Sen Chen, Yu-Te Chang, Yu-Chao Wang Robust Hinfinity-Stabilization Design in Gene Networks Under Stochastic Molecular Noises: Fuzzy-Interpolation Approach. Search on Bibsonomy IEEE Trans. Syst. Man Cybern. Part B The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Matthias Troyer Simulating Exotic Quantum States of Matter. Search on Bibsonomy HPCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Abhyudai Singh, João Pedro Hespanha Noise suppression in auto-regulatory gene networks. Search on Bibsonomy CDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Jianyi Liu, Nanning Zheng 0001 Partitioning Gait Cycles Adaptive to Fluctuating Periods and Bad Silhouettes. Search on Bibsonomy ICB The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Sherif A. Tawfik, Volkan Kursun Dual signal frequencies and voltage levels for low power and temperature-gradient tolerant clock distribution. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF dual-Vth, supply voltage scaling, temperature variations, clock skew, frequency scaling, dual-VDD
24Meeta Sharma Gupta, Krishna K. Rangan, Michael D. Smith 0001, Gu-Yeon Wei, David M. Brooks Towards a software approach to mitigate voltage emergencies. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF dynamic optimization framework, voltage emergencies, hardware-software codesign, di/dt
24Matthias Grossglauser, David N. C. Tse A time-scale decomposition approach to measurement-based admission control. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF measurement, resource allocation, admission control, time scales
24Russ Joseph, David M. Brooks, Margaret Martonosi Control Techniques to Eliminate Voltage Emergencies in High Performance Processors. Search on Bibsonomy HPCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
24Mieko Tanaka-Yamawaki On the Predictability of High-Frequency Financial Time Series. Search on Bibsonomy KES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
24Alexander Boukhanovsky, Valentin A. Rozhkov, Alexander B. Degtyarev Peculiarities of Computer Simulation and Statistical Representation of Time-Spatial Metocean Fields. Search on Bibsonomy International Conference on Computational Science (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
24Takashi Egawa, Takahiro Komine, Yasuhiro Miyao, Fumito Kubota QoS restoration for dependable networks. Search on Bibsonomy NOMS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
23S. F. C. Shearer, S. Sahoo, A. Sahoo Stochastic Dynamics of Logistic Tumor Growth. Search on Bibsonomy SAGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF avascular tumor growth, dynamical-probability, Noise, fluctuations
23Hongquan Li, Wei Shang, Shouyang Wang Heterogeneity and Endogenous Nonlinearity in an Artificial Stock Model. Search on Bibsonomy ICCS (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Endogenous fluctuations, Nonlinearity, Computational finance, Heterogeneous agents
23Keith A. Bowman, Alaa R. Alameldeen, Srikanth T. Srinivasan, Chris Wilkerson Impact of die-to-die and within-die parameter variations on the throughput distribution of multi-core processors. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF FMAX distribution, parameter fluctuations, throughput distribution, multi-core, parameter variations
23Keith A. Bowman, James W. Tschanz, Muhammad M. Khellah, Maged Ghoneima, Yehea I. Ismail, Vivek De Time-borrowing multi-cycle on-chip interconnects for delay variation tolerance. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF intra-die variations, multi-cycle interconnect, parameter fluctuations, time borrowing, interconnect, parameter variations, within-die variations, variation tolerant
23Dionysios Kouroussis, Rubil Ahmadi, Farid N. Najm Worst-case circuit delay taking into account power supply variations. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF voltage fluctuations, static timing analysis, power grid
23Jewgeni H. Dshalalow Queues with hysteretic control by vacation and post-vacation periods. Search on Bibsonomy Queueing Syst. Theory Appl. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF queueing process, post-vacation periods, (v,N)-policy, first excess level theory, semi-regenerative techniques, queueing, hysteresis, vacations, embedded Markov chain, first passage time, fluctuations, N-policy
23Kannan Krishna, Stephen W. Director A novel methodology for statistical parameter extraction. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF statistical parameter extraction, manufacturing fluctuations, device modelling
22Thomas Eriksson, Ali Behravan Tone reservation to reduce the envelope fluctuations of multicarrier signals. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22Ashley Flavel, Matthew Roughan, Nigel G. Bean, Olaf Maennel Modeling BGP Table Fluctuations. Search on Bibsonomy ITC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Tong Gan, Lu Gan 0002, Kai-Kuang Ma Reducing video-quality fluctuations for streaming scalable video using unequal error protection, retransmission, and interleaving. Search on Bibsonomy IEEE Trans. Image Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Atsushi Matsumura, Sei Naito, Ryoichi Kawada, Atsushi Koike Effective rate control method for minimizing temporal fluctuations in picture quality applicable for MPEG-4 AVC/H.264 encoding. Search on Bibsonomy ICIP (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Karl Schnell, Arild Lacroix Modeling Fluctuations of Voiced Excitation for Speech Generation Based on Recursive Volterra Systems. Search on Bibsonomy NOLISP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Toshiyuki Miyachi, Kenjiro Cho, Yoichi Shinoda On the Stability of Server Selection Algorithms Against Network Fluctuations. Search on Bibsonomy AINTEC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22François Pitié, Rozenn Dahyot, Francis Kelly, Anil C. Kokaram A New Robust Technique for Stabilizing Brightness Fluctuations in Image Sequences. Search on Bibsonomy ECCV Workshop SMVP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Thomas Philip Runarsson Reducing Random Fluctuations in Mutative Self-adaptation. Search on Bibsonomy PPSN The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Alberto Signoroni, Riccardo Leonardi Modeling and reduction of PSNR fluctuations in 3D wavelet coding. Search on Bibsonomy ICIP (3) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Dörthe Malzahn, Manfred Opper Learning Curves for Gaussian Processes Models: Fluctuations and Universality. Search on Bibsonomy ICANN The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Leendert M. Huisman Yield fluctuations and defect models. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF chip testing, defect distribution, field failures, clustering, yield, defect coverage
16Kanak Agarwal On-die sensors for measuring process and environmental variations in integrated circuits. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF environmental variation, test structure, monitors, sensors, process variation, characterization
16Young Choon Lee, Riky Subrata, Albert Y. Zomaya On the Performance of a Dual-Objective Optimization Model for Workflow Applications on Grid Platforms. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Jesús M. Miró Bueno, Alfonso Rodríguez-Patón A New Model of Synthetic Genetic Oscillator Based on Trans-Acting Repressor Ribozyme. Search on Bibsonomy IWANN (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Genetic Oscillator, Ribozyme, Simulation, Synthetic Biology
16Vijay Janapa Reddi, Meeta Sharma Gupta, Glenn H. Holloway, Gu-Yeon Wei, Michael D. Smith 0001, David M. Brooks Voltage emergency prediction: Using signatures to reduce operating margins. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Junping Zhao Research on Consumption Model about Urban Households Based on Keynes' Absolute Income Hypotheses in China. Search on Bibsonomy WKDD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Maria-Florina Balcan, Avrim Blum, Yishay Mansour The price of uncertainty. Search on Bibsonomy EC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF best response dynamics, social cost, algorithmic game theory
16Shelten G. Yuen, Michael C. Yip, Nikolay V. Vasilyev, Douglas P. Perrin, Pedro J. del Nido, Robert D. Howe Robotic Force Stabilization for Beating Heart Intracardiac Surgery. Search on Bibsonomy MICCAI (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16René Hansen, Bent Thomsen Efficient and Accurate WLAN Positioning with Weighted Graphs. Search on Bibsonomy MOBILIGHT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Yan Pan, Joonho Kong, Serkan Ozdemir, Gokhan Memik, Sung Woo Chung Selective wordline voltage boosting for caches to manage yield under process variations. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF access time failure, selective wordline voltage boosting, cache, process variations, yield
16Feng Tao, Timothy R. Field A state-space model for flat fading channels with a novel method of rational function filter design. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Özgür Özdemir, Murat Torlak Opportunistic Beamforming over Rayleigh Channels with Partial Side Information. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Atsuro Ichigaya, Yukihiro Nishida, Eisuke Nakasu Nonreference Method for Estimating PSNR of MPEG-2 Coded Video by Using DCT Coefficients and Picture Energy. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Norbert Dumas, Zhou Xu, Kostas Georgopoulos, R. John T. Bunyan, Andrew Richardson 0001 Online Testing of MEMS Based on Encoded Stimulus Superposition. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Correlation, Online testing, Covariance, Pseudo-random sequence, MEMS testing
16Aimin Sang, Xiaodong Wang 0001, Mohammad Madihian, Richard D. Gitlin Coordinated load balancing, handoff/cell-site selection, and scheduling in multi-cell packet data systems. Search on Bibsonomy Wirel. Networks The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Cell-site selection, Scheduling, Load balancing, Handoff, Cellular
16Yongming Cai, Wei Chen General Service Pricing Strategies Research Based on Dynamic Stochastic Wealth Model. Search on Bibsonomy ISECS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Martin Hoefer 0001, Alexander Souza The Influence of Link Restrictions on (Random) Selfish Routing. Search on Bibsonomy SAGT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Jung-Tsung Tsai State-Dependent Proportional Fair Scheduling Algorithms for Wireless Forward Link Data Services. Search on Bibsonomy INFOCOM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Rupa Krishnan, Ashish Raniwala, Tzi-cker Chiueh Design of a Channel Characteristics-Aware Routing Protocol. Search on Bibsonomy INFOCOM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Wesley Santa, Randy Jensen, Keith Miesel, Dave Carlson, Al Avestruz, Gregory Molnar, Timothy Denison Radios for the brain? a practical micropower sensing and algorithm architecture for neurostimulators. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Mei-Chih Chen, Chang-Li Lin, An-Pin Chen Constructing a dynamic stock portfolio decision-making assistance model: using the Taiwan 50 Index constituents as an example. Search on Bibsonomy Soft Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Real number encoding, Dynamic stock portfolio, Capital allocation, Classifier system
16Nikolaus H. Lehmann, Eran Fishler, Alexander M. Haimovich, Rick S. Blum, Dmitry Chizhik, Leonard J. Cimini Jr., Reinaldo A. Valenzuela Evaluation of Transmit Diversity in MIMO-Radar Direction Finding. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Iwo Serlie, Frans Vos, Roel Truyen, Frits H. Post, Lucas J. van Vliet Classifying CT Image Data Into Material Fractions by a Scale and Rotation Invariant Edge Model. Search on Bibsonomy IEEE Trans. Image Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16James McLaughlan, Ian Rivens, Gail ter Haar Cavitation Detection in Ex Vivo Bovine Liver Tissue Exposed to High Intensity Focued Ultrasound (HIFU). Search on Bibsonomy ISBI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Martin Hoefer 0001, Alexander Souza Tradeoffs and Average-Case Equilibria in Selfish Routing. Search on Bibsonomy ESA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Frank Emmert-Streib, Matthias Dehmer Optimization Procedure for Predicting Nonlinear Time Series Based on a Non-Gaussian Noise Model. Search on Bibsonomy MICAI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Jean-Philippe Thivierge Functional data analysis of cognitive events in EEG. Search on Bibsonomy SMC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Sherif A. Tawfik, Volkan Kursun Low-Power Low-Voltage Hot-Spot Tolerant Clocking with Suppressed Skew. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16H. J. Kadim Predictive Analysis for Robust Operation with Applications to Autonomous Biosensors. Search on Bibsonomy AHS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 2078 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license