The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for interconnects with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1980-1989 (19) 1990-1991 (15) 1992 (16) 1993-1994 (54) 1995 (28) 1996 (38) 1997 (32) 1998 (30) 1999 (53) 2000 (92) 2001 (101) 2002 (169) 2003 (179) 2004 (184) 2005 (242) 2006 (264) 2007 (233) 2008 (212) 2009 (148) 2010 (100) 2011 (88) 2012 (79) 2013 (98) 2014 (94) 2015 (119) 2016 (98) 2017 (105) 2018 (88) 2019 (97) 2020 (78) 2021 (77) 2022 (57) 2023 (60) 2024 (10)
Publication types (Num. hits)
article(909) book(4) incollection(4) inproceedings(2380) phdthesis(37) proceedings(23)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1863 occurrences of 889 keywords

Results
Found 3357 publication records. Showing 3357 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
81Tuhina Samanta, Prasun Ghosal, Hafizur Rahaman 0001, Parthasarathi Dasgupta Minimum-Congestion Placement for Y-interconnects: Some studies and observations. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
77Yuanyuan Yang 0001, Jianchao Wang Routing Permutations with Link-Disjoint and Node-Disjoint Paths in a Class of Self-Routable Interconnects. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF link-disjoint paths, crosstalk-free routing, Routing, interconnects, permutation, optical interconnects, multistage networks, node-disjoint paths, Latin square, all-to-all personalized exchange
75Yuanyuan Yang 0001, Jianchao Wang Cost-Effective Designs of WDM Optical Interconnects. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF multicast, permutation, network architectures, optical interconnects, Wavelength-division-multiplexing (WDM), optical switches, wavelength conversion, multistage networks, sparse crossbars
74Lin Liu 0004, Yuanyuan Yang 0001 Achieving 100% throughput in input-buffered WDM optical packet interconnects. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
67Joong-ho Park, Bang-Hyun Sung, Seok-Yoon Kim An Efficient Estimation Method of Dynamic Power Dissipation on VLSI Interconnects. Search on Bibsonomy ARC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
65Yuanyuan Yang 0001, Jianchao Wang WDM Optical Interconnect Architectures Under Two Connection Models. Search on Bibsonomy Hot Interconnects The full citation details ... 2002 DBLP  DOI  BibTeX  RDF optical inter-connects, permutation, network architectures, Wavelength-division-multiplexing (WDM), wavelength conversion
65Ashok V. Krishnamoorthy, Jon K. Lexau, Xuezhe Zheng, John E. Cunningham, Ron Ho, Ola Tørudbakken Optical Interconnects for Present and Future High-Performance Computing Systems. Search on Bibsonomy Hot Interconnects The full citation details ... 2008 DBLP  DOI  BibTeX  RDF high-performance computing, Optical interconnects
64Yuanyuan Yang 0001, Jianchao Wang Routing Permutations with Link-Disjoint and Node-Disjoint Paths in a Class of Self-Routable Networks. Search on Bibsonomy ICPP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF link-disjoint paths, crosstalk-free routing, Routing, interconnects, permutation, optical interconnects, multistage networks, node-disjoint paths, Latin square, all-to-all personalized exchange
63Jeffrey A. Kash Leveraging Optical Interconnects in Future Supercomputers and Servers. Search on Bibsonomy Hot Interconnects The full citation details ... 2008 DBLP  DOI  BibTeX  RDF optical network on chip, silicon photonics
63J. Balachandran, Steven Brebels, Geert Carchon, Tomas Webers, Walter De Raedt, Bart Nauwelaers, Eric Beyne Package level interconnect options. Search on Bibsonomy SLIP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF performance metrics, package, transmission lines, global interconnects
63Ray T. Chen Optical interconnects: a viable solution for interconnection beyond 10 gbit/sec. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF PCB interconnects, optical bus architecture, optical interconnects
63Sadik C. Esener, Philippe J. Marchand Present and Future Needs of Free-Space Optical Interconnects. Search on Bibsonomy IPDPS Workshops The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Optical Packaging, Micro-optics, Free-Space Optical Interconnects, Optical Interconnects, OptoElectronics
62Tamer Ragheb, Yehia Massoud On the modeling of resistance in graphene nanoribbon (GNR) for future interconnect applications. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
62Rupesh S. Shelar, Marek Patyra Impact of local interconnects on timing and power in a high performance microprocessor. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF CAD, delay, interconnects, power, microprocessor
62Haitham S. Hamza, Jitender S. Deogun WDM optical interconnects: a balanced design approach. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF wavelength exchange optical crossbar (WOC), optical interconnects, wavelength division multiplexing (WDM), Clos network, crossbar switch, wavelength converter
61Rani S. Ghaida, Payman Zarkesh-Ha A Layout Sensitivity Model for Estimating Electromigration-vulnerable Narrow Interconnects. Search on Bibsonomy J. Electron. Test. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Layout sensitivity, Narrow defects, Electromigration, Critical area, Yield prediction, Yield modeling, Spot defects
61Sudeep Pasricha, Nikil D. Dutt, Fadi J. Kurdahi Exploring Carbon Nanotube Bundle Global Interconnects for Chip Multiprocessor Applications. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
59Tushar Krishna, Amit Kumar 0002, Patrick Chiang 0001, Mattan Erez, Li-Shiuan Peh NoC with Near-Ideal Express Virtual Channels Using Global-Line Communication. Search on Bibsonomy Hot Interconnects The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Hybrid interconnects, Networks-on-chip, Packet-switching
55Ilya Levin, Benjamin Abramov, Vladimir Ostrovsky Reduction of Fault Latency in Sequential Circuits by using Decomposition. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
55Jie Chen 0010, Robert G. Edwards, Weizhen Mao QMP-MVIA: a message passing system for Linux clusters with gigabit Ethernet mesh connections. Search on Bibsonomy CLUSTER The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
55Hoyeol Cho, Kyung-Hoae Koo, Pawan Kapur, Krishna Saraswat Modeling of the performance of carbon nanotube bundle, cu/low-k and optical on-chip global interconnects. Search on Bibsonomy SLIP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Cu, bandwidth density, power, latency, optics, carbon nanotube, Global interconnects
55Ajoy Kumar Palit, Kishore K. Duganapalli, Walter Anheier Influence of Resistive Bridging Fault on Crosstalk Coupling Effects in On-Chip Aggressor-Victim Interconnects. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF defective interconnects, defect’s severity, fault model, crosstalk, bridging fault
55Joan-Manuel Parcerisa, Julio Sahuquillo, Antonio González 0001, José Duato On-Chip Interconnects and Instruction Steering Schemes for Clustered Microarchitectures. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF intercluster communication, instruction steering, complexity, on-chip interconnects, Clustered microarchitecture
55Yuanyuan Yang 0001, Jianchao Wang Designing WDM Optical Interconnects with Full Connectivity by Using Limited Wavelength Conversion. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF multicast, permutation, optical interconnects, Wavelength-division-multiplexing (WDM), wavelength conversion, multistage networks, concentrators, sparse crossbars
55Yuanyuan Yang 0001, Jianchao Wang Designing WDM Optical Interconnects with Full Connectivity by Using Limited Wavelength Conversion. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF multicast, permutation, optical interconnects, Wavelength-division-multiplexing (WDM), wavelength conversion, multistage networks, concentrators, sparse crossbars
55Yuanyuan Yang 0001, Jianchao Wang Sparse WDM Optical Interconnects under Wavelength-Based Model. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF multicast, Interconnection networks, permutation, optical interconnects, wavelength-division-multiplexing (WDM), wavelength conversion, multistage networks, sparse crossbars
54Aneesh Aggarwal, Manoj Franklin Hierarchical Interconnects for On-Chip Clustering. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF on-chip clustering, instruction distribution algo-rithms, Scalability, on-chip interconnect, Instruction-level parallelism (ILP)
54Michael Cuviello, Sujit Dey, Xiaoliang Bai, Yi Zhao Fault modeling and simulation for crosstalk in system-on-chip interconnects. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
50Amit Hadke, Tony Benavides, S. J. Ben Yoo, Rajeevan Amirtharajah, Venkatesh Akella OCDIMM: Scaling the DRAM Memory Wall Using WDM Based Optical Interconnects. Search on Bibsonomy Hot Interconnects The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
49S. Y. Kulkarni, K. D. Patil, K. V. V. Murthy Transmission line model parameters for very high speed VLSI interconnects in MCMs using FEM with special elements. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF very high speed integrated circuits, transmission line theory, integrated circuit packaging, transmission line model parameters, very high speed VLSI interconnects, higher order isoparametric elements, 2D interconnect/dielectric packaging structures, quadrilateral infinite elements, signal conductor boundaries, sharp corners, finite element method, finite element analysis, computation time, multichip modules, multichip modules, FEM, MCM, integrated circuit interconnections, VLSI interconnects
48Ankit More, Baris Taskin Electromagnetic interaction of on-chip antennas and CMOS metal layers for wireless IC interconnects. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF on-chip antennas, VLSI, interconnects, electromagnetic
48Hyun-Wook Jin, Chuck Yoo Impact of protocol overheads on network throughput over high-speed interconnects: measurement, analysis, and improvement. Search on Bibsonomy J. Supercomput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Programmable Network interface cards, Clusters, Myrinet, High-speed interconnects, UDP/IP
48Shuming Chen, Xiangyuan Liu A Low-Latency and Low-Power Hybrid Insertion Methodology for Global Interconnects in VDSM Designs. Search on Bibsonomy NOCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF differential-signaling, insertion methodology, on-chip interconnects, low-swing
48Fabrizio Petrini, Olav Lysne, Ron Brightwell Guest Editors' Introduction: High-Performance Interconnects. Search on Bibsonomy IEEE Micro The full citation details ... 2006 DBLP  DOI  BibTeX  RDF high-performance interconnects
48Mohammad H. Tehranipour, Nisar Ahmed, Mehrdad Nourani Testing SoC Interconnects for Signal Integrity Using Boundary Scan. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Integrity Loss Sensor, System-on-Chip Interconnects, Data Compression, Boundary Scan, Signal Integrity
47Alireza Ejlali, Bashir M. Al-Hashimi SEU-Hardened Energy Recovery Pipelined Interconnects for On-Chip Networks. Search on Bibsonomy NOCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
47Azad Naeemi, James D. Meindl Carbon nanotube interconnects. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF quantum wires, crosstalk, inductance, repeaters, molecular electronics, system analysis and design, system optimization
47Azad Naeemi, Reza Sarvari, James D. Meindl Performance Modeling and Optimization for Single- and Multi-Wall Carbon Nanotube Interconnects. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
47Christer Svensson Electrical interconnects revitalized. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
45Dominic DiTomaso, Avinash Karanth Kodi, Savas Kaya, David W. Matolak iWISE: Inter-router Wireless Scalable Express Channels for Network-on-Chips (NoCs) Architecture. Search on Bibsonomy Hot Interconnects The full citation details ... 2011 DBLP  DOI  BibTeX  RDF Chip Multiprocessors, Wireless Technology, On-chip Interconnects
45John R. Feehrer, Paul Rotker, Milton Shih, Paul Gingras, Peter Yakutis, Stephen Phillips, John Heath, Sebastian Turullols Coherency Hub Design for Multi-Node Victoria Falls Server Systems. Search on Bibsonomy Hot Interconnects The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multi-threaded processor cores, multi-node CMT systems, serial interconnects, packet switching, cache coherency
45Michael R. T. Tan, Paul Rosenberg, Jong Souk Yeo, Moray McLaren, Sagi Mathai, Terry Morris, Joseph Straznicky, Norman P. Jouppi, Huei Pei Kuo, Shih-Yuan Wang, Scott Lerner, Pavel Kornilovich, Neal Meyer, Robert Bicknell, Charles Otis, Len Seals A High-Speed Optical Multi-Drop Bus for Computer Interconnections. Search on Bibsonomy Hot Interconnects The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Computer interconnections, Multi-drop Bus, Optical Interconnects, Optical Bus
43Lutz J. Micheel, Hans L. Hartnagel Interband RTDs with Nanoelectronic HBT-LED Structures for Multiple-Valued Computation. Search on Bibsonomy ISMVL The full citation details ... 1996 DBLP  DOI  BibTeX  RDF heterojunction bipolar transistors, resonant tunnelling devices, light emitting devices, interband RTDs, resonant tunnelling devices, nanoelectronic HBT-LED structures, multiple-valued computation, nanoelectronic arrays, complex signal processing methods, HBT-LED-RTD circuitry, heterojunction bipolar transistors, light emitting devices, internal optical methods, signal summation, precision photon streams, positive-digit radix-2 MVL, A/D conversion capability, optical isolation, buried optical interconnects, microcavity lasers, signal processing, optical interconnections, optical interconnects, multiple-valued logic, multivalued logic circuits, thresholding functions, analogue-digital conversion
43Samy Makar, Edward J. McCluskey Checking experiments to test latches. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF exhaustive functional tests, 2-state latches, minimum-length checking, D-latch, HSpice implementation, transmission gate latch, detectable shorted interconnects, open interconnects, short-to-power faults, short-to-ground faults, pin fault test set, multiplexer-based test set, sequential elements, 2-state state machines, simulation, fault diagnosis, logic testing, finite state machines, integrated circuit testing, sequential circuits, CMOS, circuit analysis computing, CMOS logic circuits, SPICE, stuck open faults, checking experiments, stuck-on faults
43Ammar Ahmad Awan, Arpan Jain, Ching-Hsiang Chu, Hari Subramoni, Dhabaleswar K. Panda 0001 Communication Profiling and Characterization of Deep Learning Workloads on Clusters with High-Performance Interconnects. Search on Bibsonomy Hot Interconnects The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
43Saurabh Jha, Archit Patke, Jim M. Brandt, Ann C. Gentile, Mike Showerman, Eric Roman, Zbigniew T. Kalbarczyk, Bill Kramer 0001, Ravishankar K. Iyer A Study of Network Congestion in Two Supercomputing High-Speed Interconnects. Search on Bibsonomy Hot Interconnects The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
43Nusrat S. Islam, Xiaoyi Lu, Md. Wasi-ur-Rahman, Dhabaleswar K. Panda 0001 Can Parallel Replication Benefit Hadoop Distributed File System for High Performance Interconnects? Search on Bibsonomy Hot Interconnects The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
43Ron Ho, John E. Cunningham, Herb Schwetman, Xuezhe Zheng, Ashok V. Krishnamoorthy Optical Interconnects in the Data Center. Search on Bibsonomy Hot Interconnects The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
43Hong Liu, Cedric F. Lam, Chris Johnson 0006 Scaling Optical Interconnects in Datacenter Networks Opportunities and Challenges for WDM. Search on Bibsonomy Hot Interconnects The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
43Wladek Olesinski, Hans Eberle, Nils Gura, Bob Dickson, Aron J. Silverton, Sumti Jairath, Peter Yakutis Simple Fairness Protocols for Daisy Chain Interconnects. Search on Bibsonomy Hot Interconnects The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
43Ajay Joshi, Byungsub Kim, Vladimir Stojanovic Designing Energy-Efficient Low-Diameter On-Chip Networks with Equalized Interconnects. Search on Bibsonomy Hot Interconnects The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
43Mike Parker, Steve Scott The Impact of Optics on HPC System Interconnects. Search on Bibsonomy Hot Interconnects The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
43Iñigo Artundo, Wim Heirman, Mikel Loperena, Christof Debaes, Jan M. Van Campenhout, Hugo Thienpont Low-Power Reconfigurable Network Architecture for On-Chip Photonic Interconnects. Search on Bibsonomy Hot Interconnects The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
43Joseph E. Berthold Optical Networking for Data Center Interconnects Across Wide Area Networks. Search on Bibsonomy Hot Interconnects The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
43Madeleine Glick Optical Interconnects in Next Generation Data Centers: An End to End View. Search on Bibsonomy Hot Interconnects The full citation details ... 2008 DBLP  DOI  BibTeX  RDF computer networks, optical interconnections, optical switches
43Dongkook Park, Reetuparna Das, Chrysostomos Nicopoulos, Jongman Kim, Narayanan Vijaykrishnan, Ravishankar R. Iyer 0001, Chita R. Das Design of a Dynamic Priority-Based Fast Path Architecture for On-Chip Interconnects. Search on Bibsonomy Hot Interconnects The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
43Chander Kochar, Avinash Karanth Kodi, Ahmed Louri Implementation of Dynamic Bandwidth Re-allocation in Optical Interconnects using Microring Resonators. Search on Bibsonomy Hot Interconnects The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
43Mohammad J. Rashti, Ahmad Afsahi Assessing the Ability of Computation/Communication Overlap and Communication Progress in Modern Interconnects. Search on Bibsonomy Hot Interconnects The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
43Haitham S. Hamza, Jitender S. Deogun Designing Full-Connectivity WDM Optical Interconnects with Reduced Switching and Conversion Complexity. Search on Bibsonomy Hot Interconnects The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
43Venkata Krishnan, David Mayhew Proceedings. 12th Annual IEEE Symposium on High Performance Interconnects. Search on Bibsonomy Hot Interconnects The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
43Jiuxing Liu, Balasubramanian Chandrasekaran, Weikuan Yu, Jiesheng Wu, Darius Buntinas, Sushmitha P. Kini, Pete Wyckoff, Dhabaleswar K. Panda 0001 Micro-benchmark level performance comparison of high-speed cluster interconnects. Search on Bibsonomy Hot Interconnects The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
43David Mayhew, Venkata Krishnan PCI express and advanced switching: evolutionary path to building next generation interconnects. Search on Bibsonomy Hot Interconnects The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
43 Hot Interconnects 10 Committees. Search on Bibsonomy Hot Interconnects The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
41J. Balachandran, Steven Brebels, Geert Carchon, Maarten Kuijk, Walter De Raedt, Bart Nauwelaers, Eric Beyne Wafer-level package interconnect options. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
41Yu Hu 0002, Yan Lin 0001, Lei He 0001, Tim Tuan Simultaneous time slack budgeting and retiming for dual-Vdd FPGA power reduction. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF FPGA, low power, retiming
41Avinash Karanth Kodi, Ahmed Louri Performance adaptive power-aware reconfigurable optical interconnects for high-performance computing (HPC) systems. Search on Bibsonomy SC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF high-performance computing (HPC), reconfigurable optical interconnects, performance modeling, power-aware
41Wai Hong Ho, Timothy Mark Pinkston A Design Methodology for Efficient Application-Specific On-Chip Interconnects. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF low-contention communication, network partitioning, communication model, On-chip interconnects, irregular topology
41Zhenghao Zhang, Yuanyuan Yang Optimal Scheduling Algorithms in WDM Optical Interconnects with Limited Range Wavelength Conversion Capability. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2004 DBLP  DOI  BibTeX  RDF scheduling, bipartite graphs, optical interconnects, Wavelength-division-multiplexing (WDM), matroid, wavelength conversion, bipartite matching, limited range wavelength conversion
41Wai Hong Ho, Timothy Mark Pinkston A Methodology for Designing Efficient On-Chip Interconnects on Well-Behaved Communication Patterns. Search on Bibsonomy HPCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Low-Contention Communication, Network Partitioning, Communication Model, On-chip Interconnects, Irregular Topology
41Zhenghao Zhang, Yuanyuan Yang 0001 Distributed Scheduling Algorithms for Wavelength Convertible WDM Optical Interconnects. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF convex bipartite graph, contention-free, distributed algorithms, scheduling algorithms, optical interconnects, Wavelength-division-multiplexing (WDM), optical switches, wavelength conversion, maximum matching, limited range wavelength conversion
40Nikhil Jain, Yogish Sabharwal Optimal bucket algorithms for large MPI collectives on torus interconnects. Search on Bibsonomy ICS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF communication, MPI, collective, torus network
40Rajeev K. Dokania, Alyssa B. Apsel Analysis of challenges for on-chip optical interconnects. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF on-chip optical interconnect, polarization sensitivity, ring-resonator, thermal sensitivity, modulator
40Sudeep Pasricha Exploring serial vertical interconnects for 3D ICs. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF serial interconnect, VLSI, networks on chip, 3D ICs
40J. V. R. Ravindra, Srinivas Bala Mandalika Modeling and analysis of crosstalk for distributed RLC interconnects using difference model approach. Search on Bibsonomy SBCCI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF RC, distributed RLC, interconnect, SPICE, circuit, RL
40Jaijeet S. Roychowdhury Micro-Photonic Interconnects: Characteristics, Possibilities and Limitations. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
40Manhee Lee, Eun Jung Kim 0001, Ki Hwan Yum, Mazin S. Yousif An Overview of Security Issues in Cluster Interconnects. Search on Bibsonomy CCGRID The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
40James P. G. Sterbenz, Dimitrios Stiliadis Guest Editors' Introduction: Hot Interconnects 12. Search on Bibsonomy IEEE Micro The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
40Woopyo Jeong, Bipul Chandra Paul, Kaushik Roy 0001 Adaptive supply voltage technique for low swing interconnects. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
40Yi Zhao, Sujit Dey Fault-coverage analysis techniques of crosstalk in chip interconnects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
40Kaustav Banerjee, Amit Mehrotra Analysis of on-chip inductance effects for distributed RLC interconnects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
40Joan-Manuel Parcerisa, Julio Sahuquillo, Antonio González 0001, José Duato Efficient Interconnects for Clustered Microarchitectures. Search on Bibsonomy IEEE PACT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
40Krishna Sekar, Sujit Dey LI-BIST: A Low-Cost Self-Test Scheme for SoC Logic Cores and Interconnects. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
40Sadahiro Tani, Yoshihiro Uchida, Makoto Furuie, Shuji Tsukiyama, BuYeol Lee, Shuji Nishi, Yasushi Kubota, Isao Shirakawa, Shigeki Imai Parasitic capacitance modeling for multilevel interconnects. Search on Bibsonomy APCCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
40Xiaoliang Bai, Sujit Dey High-level Crosstalk Defect Simulation for System-on-Chip Interconnects. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF System-on-Chip, Crosstalk, Interconnect test, Defect simulation, High level
40Ramachandra Achar, Michel S. Nakhla, Qi-Jun Zhang Addressing high frequency effects in VLSI interconnects with full wave model and CFH. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
40Sanjay L. Manney, Michel S. Nakhla, Qi-Jun Zhang Analysis of nonuniform, frequency-dependent high-speed interconnects using numerical inversion of Laplace transform. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
37Huei Pei Kuo, Robert Walmsley, Lennie Kiyama, Michael R. T. Tan, Shih-Yuan Wang Telecentric Optics for Free-Space Optical Link. Search on Bibsonomy Hot Interconnects The full citation details ... 2008 DBLP  DOI  BibTeX  RDF telecentric optics, free-space, board to board, optical interconnect, b2b
37Matthew J. Koop, Wei Huang 0003, Karthik Gopalakrishnan, Dhabaleswar K. Panda 0001 Performance Analysis and Evaluation of PCIe 2.0 and Quad-Data Rate InfiniBand. Search on Bibsonomy Hot Interconnects The full citation details ... 2008 DBLP  DOI  BibTeX  RDF qdr, pci, infiniband
37Venkata Krishnan Evaluation of an Integrated PCI Express IO Expansion and Clustering Fabric. Search on Bibsonomy Hot Interconnects The full citation details ... 2008 DBLP  DOI  BibTeX  RDF IO Expansion, clustering, interconnect, sockets, PCI Express
37Raymond G. Beausoleil, Jung Ho Ahn, Nathan L. Binkert, Al Davis, David Fattal, Marco Fiorentino, Norman P. Jouppi, Moray McLaren, Charles M. Santori, Robert S. Schreiber, S. M. Spillane, Dana Vantrease, Qianfan Xu A Nanophotonic Interconnect for High-Performance Many-Core Computation. Search on Bibsonomy Hot Interconnects The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
37Yuanyuan Yang 0001, Jianchao Wang Routing Permutations on Baseline Networks with Node-Disjoint Paths. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF semipermutation, baseline network, link-disjoint paths, crosstalk-free, Routing, interconnects, permutation, optical interconnects, multistage networks, node-disjoint paths
37Traianos V. Yioultsis, Anne Woo, Andreas C. Cangellaris Passive Synthesis of Compact Frequency-Dependent Interconnect Models via Quadrature Spectral Rules. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Transmission-line modeling of interconnects, interconnects with frequency-dependent losses, passive reduced- order synthesis
37M. A. Sarwar, Alan D. George, David E. Collins Reliability Modeling of SCI Ring-Based Topologies. Search on Bibsonomy LCN The full citation details ... 2000 DBLP  DOI  BibTeX  RDF SCI ring-based topologies, cluster interconnects, point-to-point ring-based interconnect, switched ring topologies, 1D k-ary n-cube switching fabrics, 2D k-ary n-cube switching fabrics, UltraSAN, single-ring system, redundant ring, fault tolerance, Petri nets, multiprocessor interconnection networks, network topology, reliability modeling, link failures, system buses, multiprocessor interconnects, scalable coherent interface
35Kaustav Banerjee Graphene based nanomaterials for VLSI interconnect and energy-storage applications. Search on Bibsonomy SLIP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF carbon nanomaterials, graphene nano-ribbons, interconnects, carbon nanotubes, passives
35Rajeev Sivaram, Craig B. Stunkel, Dhabaleswar K. Panda 0001 HIPIQS: A High-Performance Switch Architecture Using Input Queuing. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF switch/router design, interconnection networks, parallel architectures, networks of workstations, high-speed interconnects
34Aneesh Aggarwal, Manoj Franklin Scalability Aspects of Instruction Distribution Algorithms for Clustered Processors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Clustered processor architecture, pipeline processors, interconnection architectures, load balancing and task assignment
34Chung-Seok (Andy) Seo, Abhijit Chatterjee, Nan M. Jokerst This paper presents a cost-effective area-IO DRAM A CAD Tool and Algorithms. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Vijay Raghunathan, Mani B. Srivastava, Rajesh K. Gupta 0001 A survey of techniques for energy efficient on-chip communication. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF low power design, power management, communication architectures, system-on-chip design, energy efficient design
34Naveen Muralimanohar, Rajeev Balasubramonian, Norman P. Jouppi Architecting Efficient Interconnects for Large Caches with CACTI 6.0. Search on Bibsonomy IEEE Micro The full citation details ... 2008 DBLP  DOI  BibTeX  RDF CACTI 6.0, on-chip interconnects, cache design
34Guowen Han, Yuanyuan Yang 0001 Scheduling and performance analysis of multicast interconnects. Search on Bibsonomy J. Supercomput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Quality-of-service (QoS), Approximation algorithms, Multicast, Interconnects, Scheduling algorithms, Random graph, Conflict graph
Displaying result #1 - #100 of 3357 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license