The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for multiplier with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1952-1961 (17) 1963-1968 (16) 1969-1975 (17) 1976-1978 (15) 1979-1982 (17) 1983-1984 (17) 1985-1986 (19) 1987-1988 (23) 1989-1990 (27) 1991 (18) 1992 (24) 1993 (34) 1994 (36) 1995 (54) 1996 (51) 1997 (49) 1998 (62) 1999 (91) 2000 (118) 2001 (102) 2002 (115) 2003 (159) 2004 (132) 2005 (172) 2006 (203) 2007 (185) 2008 (171) 2009 (121) 2010 (89) 2011 (92) 2012 (91) 2013 (117) 2014 (110) 2015 (126) 2016 (114) 2017 (142) 2018 (146) 2019 (141) 2020 (163) 2021 (170) 2022 (186) 2023 (199) 2024 (39)
Publication types (Num. hits)
article(1801) book(1) data(5) incollection(6) inproceedings(2169) phdthesis(8)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1621 occurrences of 722 keywords

Results
Found 3990 publication records. Showing 3990 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
93Johann Großschädl The Chinese Remainder Theorem and its Application in a High-Speed RSA Crypto Chip. Search on Bibsonomy ACSAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF RSA/spl gamma/ crypto-chip, RSA encryption scheme, hardware performance, long-integer modular arithmetic, private key operations, multiplier architecture, high-speed hardware accelerator, reconfigurable multiplier datapath, word-serial multiplier, modular reduction method, multiplier core, decryption rate, 200 MHz, 560 kbit/s, 2 Mbit/s, parallelism, pipelining, public key cryptography, reconfigurable architectures, clocks, Chinese Remainder Theorem, microprocessor chips, multiplying circuits, modular multiplications, modular exponentiations, pipeline arithmetic, clock frequency
92W. Amendola Jr., Hosahalli R. Srinivas, Keshab K. Parhi A 16-bit x 16-bit 1.2 μ CMOS multiplier with low latency vector merging. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF CMOS multiplier, low latency vector merging, bit-level pipelined architecture, two's-complement binary array multiplier, multiplier architecture, signed-digit radix 2 adders, carry free adders, fast conversion scheme, pipelining registers, half adders, positive edge triggered registers, single phase clocking scheme, 16 bit, 50 MHz, 3 V, VLSI, parallel architectures, multiplication, VLSI architecture, CMOS logic circuits, multiplying circuits, data conversion, pipeline arithmetic, 1.2 micron
88Y. Hamid, Martin Langhammer Multiplier architectures for FPGA double precision functions (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fpga, floating point
75Nuno Bandeira, Ken Vaccaro, James A. Howard A Two's Complement Array Multiplier Using True Values of the Operands. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1983 DBLP  DOI  BibTeX  RDF Pezaris multiplier, Baugh-Wooley multiplier, celluar-subtractor multiplier, Array multiplier, parallel multiplier, binary multiplication
75Chang Han Kim, Yongtae Kim, Nam Su Chang, IlHwan Park Modified Serial Multipliers for Type-IV Gaussian Normal Bases. Search on Bibsonomy INDOCRYPT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF serial multiplier, Finite fields, ECC, Massey-Omura multiplier, Gaussian Normal Basis
71S. M. Aziz A C-testable modified Booth's array multiplier. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF C-testable multiplier, modified Booth algorithm, gate-level design, parallel processing, logic testing, integrated circuit testing, digital arithmetic, stuck-at faults, CMOS logic circuits, multiplying circuits, logic arrays, array multiplier, parallel multiplier
66Shreesha Srinath, Katherine Compton Automatic generation of high-performance multipliers for FPGAs with asymmetric multiplier blocks. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF asymmetric multipliers, composable multipliers, multiplier design
66Mateus Fonseca, Eduardo A. C. da Costa, Sergio Bampi, José Monteiro 0001 Design of a radix-2m hybrid array multiplier using carry save adder format. Search on Bibsonomy SBCCI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF hybrid multiplier, low power, carry save adder
66Xu Zhou, Zhimin Tang A New Architecture of a Fast Floating-Point Multiplier. Search on Bibsonomy APPT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Floating-point Multiplier, Processor
66Arash Reyhani-Masoleh, M. Anwarul Hasan A New Construction of Massey-Omura Parallel Multiplier over GF(2m). Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF optimal normal bases, Finite field, Massey-Omura multiplier, all-one polynomial
63Peter Kornerup A Systolic, Linear-Array Multiplier for a Class of Right-Shift Algorithms. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF systolic linear-array multiplier, right-shift algorithms, multiplier cell, digit-product terms, least significant digit first, active elements, module-multiplier, Montgomery module-reduction, RSA encryption, modular division, cryptography, logic design, systolic arrays, systolic array, digital arithmetic, multiplying circuits, latches, modular inverses, Hensel codes, digit-serial multiplier
60Hyeong-Ju Kang, In-Cheol Park Multiplier-less IIR filter synthesis algorithms to trade-off the delay and the number of adders. Search on Bibsonomy ISCAS (2) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
59Sandeep S. Kumar, Thomas J. Wollinger, Christof Paar Optimum Digit Serial GF(2^m) Multipliers for Curve-Based Cryptography. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF least significant digit multiplier, elliptic/hyperelliptic curve cryptography, public key cryptography, digit serial multiplier, Bit serial multiplier
59Johann Großschädl A Bit-Serial Unified Multiplier Architecture for Finite Fields GF(p) and GF(2m). Search on Bibsonomy CHES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF iterative modulo multiplication, polynomial basis representation, bit-serial multiplier architecture, smart card crypto-coprocessor, Elliptic curve cryptography, finite field arithmetic
59Eric M. Schwarz, Robert M. Averill III, Leon J. Sigal A Radix-8 CMOS S/390 Multiplier. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Booth algorithm, computer arithmetic, multiplication, multiplier, floating-point unit
59Belle W. Y. Wei, He Du, Honglu Chen A complex-number multiplier using radix-4 digits. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1995 DBLP  DOI  BibTeX  RDF complex-number multiplier, radix-4 digits, arithmetic datapath, complex-number digital signal processor, binary signed digits, fast multiplication, compact layout, three-multiplication scheme, radix-4 operands, delays, delay, encoding, digital arithmetic, multiplying circuits, binary additions, coding scheme
59George A. Hadgis, P. R. Mukund A novel CMOS monolithic analog multiplier with wide input dynamic range. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF analogue multipliers, circuit feedback, CMOS monolithic analog multiplier, input dynamic range, voltage-controlled variable linear resistor, feedback network, PSpice simulation results, circuit analysis computing, linearity, SPICE, operational amplifiers, operational amplifier, CMOS analogue integrated circuits
58Alexandre F. Tenca, Georgi Todorov, Çetin Kaya Koç High-Radix Design of a Scalable Modular Multiplier. Search on Bibsonomy CHES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF modular multiplier, montgomery multiplier, high-radix, scalable architecture
58Jaswinder Pal Singh, Anshul Kumar, Shashi Kumar A multiplier generator for Xilinx FPGAs. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF multiplier generator, Xilinx FPGAs, LUT based FPGA, sequential designs, combinational designs, pipelined designs, IDEAS synthesis system, XC3000 family, XC4000 family, dedicated carry logic, XACT tool, XBLOX tool, field programmable gate arrays, high level synthesis, sequential circuits, combinational circuits, digital arithmetic, logic CAD, pipeline processing, integrated circuit design, circuit CAD, table lookup, multiplying circuits, module generator, carry logic, multiplier designs
56Marcelo E. Kaihara, Naofumi Takagi Bipartite Modular Multiplication Method. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Algorithms, Computer arithmetic
56Nicola Petra, Davide De Caro, Antonio G. M. Strollo A Novel Architecture for Galois Fields GF(2^m) Multipliers Based on Mastrovito Scheme. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF VLSI, High-Performance, Digital, Reed-Solomon codes, Arithmetic, finite field multiplication, polynomial basis
56Jongsun Park 0001, Khurram Muhammad, Kaushik Roy 0001 High-performance FIR filter design based on sharing multiplication. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
55Arash Reyhani-Masoleh, M. Anwarul Hasan On Low Complexity Bit Parallel Polynomial Basis Multipliers. Search on Bibsonomy CHES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Finite or Galois field, Mastrovito multiplier, pentanomial, trinomial and equally-spaced polynomial, polynomial basis
54M. Sudhakar, Ramachandruni Venkata Kamala, M. B. Srinivas New and Improved Architectures for Montgomery Modular Multiplication. Search on Bibsonomy Mob. Networks Appl. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF reconfigurable multiplier, scalable multiplier, RSA, ECC, carry save adders, Montgomery modular multiplication
53Charles Tsen, Sonia González-Navarro, Michael J. Schulte, Brian J. Hickmann, Katherine Compton A Combined Decimal and Binary Floating-Point Multiplier. Search on Bibsonomy ASAP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
53Martin Novotný, Jan Schmidt General Digit-Serial Normal Basis Multiplier with Distributed Overlap. Search on Bibsonomy DSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
53Chip-Hong Chang, Ravi Kumar Satzoda, Swaminathan Sekar A novel multiplexer based truncated array multiplier. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
53Lei Zhou, Yong Ping Xu, Fujiang Lin A gigahertz wideband CMOS multiplier for UWB transceiver. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
53Kip C. Killpack, Eric Mercer, Chris J. Myers A Standard-Cell Self-Timed Multiplier for Energy and Area Critical Synchronous Systems. Search on Bibsonomy ARVLSI The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
53Jinn-Shyan Wang, Chien-Nan Kuo, Tsung-Han Yang Low-power fixed-width array multipliers. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF fixed-width multiplier, left-to-right multiplier, reduced-width multiplier, low power
53Janardhan H. Satyanarayana, Keshab K. Parhi, Leilei Song, Yun-Nan Chang Systematic analysis of bounds on power consumption in pipelined and non-pipelined multipliers. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF pipelined multipliers, nonpipelined multipliers, Baugh-Wooley multiplier, binary tree multiplier, Wallace tree multiplier, STDs, sub circuits, energy values, cubic dependence, word length, quadratic dependence, digital CMOS circuits, CMOS adder, low power arithmetic units, power consumption, power consumption, switching activity, state transition diagrams
52Chua-Chin Wang, Gang-Neng Sung Low-Power Multiplier Design Using a Bypassing Technique. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Low power multiplier, Timing control, Partial product, Bypassing
52Sun-Mi Park, Ku-Young Chang, Dowon Hong Efficient Bit-Parallel Multiplier for Irreducible Pentanomials Using a Shifted Polynomial Basis. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF shifted polynomial basis, irreducible pentanomial, finite field arithmetic, Bit-parallel multiplier
52Ku-Young Chang, Dowon Hong, Hyun Sook Cho Low Complexity Bit-Parallel Multiplier for GF(2^m) Defined by All-One Polynomials Using Redundant Representation. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Karatsuba method, AOP, finite field arithmetic, redundant representation, Bit-parallel multiplier
52Chiou-Yng Lee, Che Wun Chiou, Jim-Min Lin Concurrent Error Detection in a Bit-Parallel Systolic Multiplier for Dual Basis of GF(2m). Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF cryptography, fault-tolerant computing, fault detection, finite fields, multiplier, single stuck-at fault
52Yeshwant Kolla, Yong-Bin Kim, John Carter A novel 32-bit scalable multiplier architecture. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF CMOS VLSI, architecture, multiplier
52Hak-soo Yu, Jacob A. Abraham An Efficient 3-Bit -Scan Multiplier without Overlapping Bits, and Its 64x64 Bit Implementation. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF 3-bit-scan, power-delay-area tradeoffs, synchronous sequential, multiplier, partial product
52Bong-Il Park, In-Cheol Park, Chong-Min Kyung A Regular Layout Structured Multiplier Based on Weighted Carry-Save Adders. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Booth algorithm, Carry-Save Adder and Wallace Tree, Multiplier
51Dimitris Bekiaris, Kiamal Z. Pekmestzi, Christos A. Papachristou A high-speed radix-4 multiplexer-based array multiplier. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF modified booth, multiplexer-based, radix-4 multiplier, array multiplier
50Ron S. Waters, Earl E. Swartzlander Jr. A Reduced Complexity Wallace Multiplier Reduction. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF High-speed multiplier, Wallace multiplier, Dadda multiplier
49Peter-Michael Seidel, Lee D. McFearin, David W. Matula Binary Multiplication Radix-32 and Radix-256. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
48Soonhak Kwon, Kris Gaj, Chang Hoon Kim, Chun Pyo Hong Efficient Linear Array for Multiplication in GF(2m) Using a Normal Basis for Elliptic Curve Cryptography. Search on Bibsonomy CHES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF finite field, elliptic curve cryptography, Massey-Omura multiplier, Gaussian normal basis, critical path delay
46Brian J. Hickmann, Andrew Krioukov, Michael J. Schulte, Mark A. Erle A parallel IEEE P754 decimal floating-point multiplier. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
46Chih-Hsing Lin, Ching-Te Chiu A 2.24GHz Wide Range Low Jitter DLL-Based Frequency Multiplier using PMOS Active Load for Communication Applications. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
46Saurabh Singh, K. Radhakrishna Rao Low Voltage Analogue Multiplier. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
46Sai Mohan Kilambi, Behrouz Nowrouzian A Genetic Algorithm Employing Correlative Roulette Selection for Optimization of FRM Digital Filters over CSD Multiplier Coefficient Space. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
46Bo Yang 0010, Nikhil Joshi, Ramesh Karri A constant array multiplier core generator with dynamic partial evaluation architecture selection (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
46Shuo Zhou, Bo Yao, Jianhua Liu, Chung-Kuan Cheng Integrated algorithmic logical and physical design of integer multiplier. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF booth, interconnect, partial product, TDM
46Amir Khatibzadeh, Kaamran Raahemifar A Novel Design of a 6-GHz 8 X 8-b Pipelined Multiplier. Search on Bibsonomy IWSOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
46Magnus Själander, Henrik Eriksson, Per Larsson-Edefors An Efficient Twin-Precision Multiplier. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
46Jin-Hua Hong, Cheng-Wen Wu Cellular-array modular multiplier for fast RSA public-key cryptosystem based on modified Booth's algorithm. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
46Süleyman Sirri Demirsoy, Andrew G. Dempster, Izzet Kale Design guidelines for reconfigurable multiplier blocks. Search on Bibsonomy ISCAS (4) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
46Wonjong Kim, Seungchul Kim, Hanjin Cho, Kwang-youb Lee A fast-serial finite field multiplier without increasing the number of registers. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
46Ahmad A. Hiasat New Efficient Structure for a Modular Multiplier for RNS. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF hardware requirements, VLSI, computer arithmetic, Residue number system, time delay, modular multiplication
46Khurram Muhammad, Dinesh Somasekhar, Kaushik Roy 0001 Switching Characteristics of Generalized Array Multiplier Architectures and their Applications to Low Power Design. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
46Vesselin K. Vassilev, Julian F. Miller, Terence C. Fogarty On the Nature of Two-Bit Multiplier Landscapes. Search on Bibsonomy Evolvable Hardware The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
46Dinesh Somasekhar, V. Visvanathan A 230-MHz half-bit level pipelined multiplier using true single-phase clocking. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
46Stefan Lemsitzer, Johannes Wolkerstorfer, Norbert Felber, Matthias Braendli Multi-gigabit GCM-AES Architecture Optimized for FPGAs. Search on Bibsonomy CHES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Galois/Counter Mode (GCM), hybrid multiplier, Field Programmable Gate Array (FPGA), Very Large Scale Integration (VLSI), Advanced Encryption Standard (AES), high throughput, digit-serial multiplier, bit-parallel multiplier
45Tae Ho Kim, Sang Chul Kim, Chang Hoon Kim, Chun Pyo Hong Scalable Montgomery Multiplier for Finite Fields GF(p) and GF(2^m). Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Multi-Precision CSA, Scalable Multiplier, VLSI, Montgomery Multiplication
45Chiou-Yng Lee, Che Wun Chiou, Jim-Min Lin Concurrent Error Detection in a Polynomial Basis Multiplier over GF(2m). Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF cryptography, fault-tolerant computing, fault detection, multiplier, finite fields arithmetic
45Yijun Liu, Stephen B. Furber The design of a low power asynchronous multiplier. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Booth's algorithm, low power, benchmark, multiplier, asynchronous logic
45Syed Mahfuzul Aziz, C. N. Basheer, Joarder Kamruzzaman A Synthesisable VHDL Model for an Easily Testable Generalised Multiplier. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Modified Booth, Generic, Synthesis, VHDL, Multiplier, C-Testable
45Berk Sunar, Çetin Kaya Koç An Efficient Optimal Normal Basis Type II Multiplier. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Galois field, space complexity, optimal normal basis, Massey-Omura multiplier
45Rong Lin A Reconfigurable Low-Power High-Performance Matrix Multiplier Design. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF low-power CMOS circuits, parallel counter-multiplier circuits, reconfigurable architecture, Matrix multiplication
45Ranjeet Ranade, Sanjay Bhandari, A. N. Chandorkar VLSI Implementation of Artificial Neural Network Based Digital Multiplier and Adder. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Digital Multiplier and Adder, VLSI Implementation of Neural Networks, Artificial Neural Networks (ANN)
45Charles U. Martel, Vojin G. Oklobdzija, R. Ravi 0001, Paul F. Stelling Design Strategies for Optimal Multiplier Circuits. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Algorithms, Circuit design, Partial product reduction, Multiplier design
44Zhijun Huang, Milos D. Ercegovac High-Performance Low-Power Left-to-Right Array Multiplier Design. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Left-to-right array multiplier, tree multiplier, layout regularity, low-power design, high-performance design
44Mallika De, Bhabani P. Sinha Testing of a parallel ternary multiplier using I2L logic. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF integrated injection logic, parallel ternary multiplier, I/sup 2/L logic, multivalued I/sup 2/L circuits, input balanced ternary full adder, precarry generator, multivalued current inputs, multivalued current outputs, generated test sets, skew fault, fault diagnosis, logic testing, design for testability, logic design, digital arithmetic, fault location, stuck-at fault, generalized model, adders, adder, multiplying circuits, multivalued logic circuits, test sets, parallel multiplier
43Abner Corrêa Barros, Victor Wanderley Costa de Medeiros, Viviane Lucy Santos de Souza, Paulo Sérgio Brandão do Nascimento, Ângelo Mazer, João Paulo Fernandes Barbosa, Bruno P. Neves, Ismael Santos 0001, Manoel Eusébio de Lima Implementation of a double-precision multiplier accumulator with exception treatment to a dense matrix multiplier module in FPGA. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGA, scientific computing, floating-point, HPC
43Leonardo Londero de Oliveira, Cristiano Santos, Daniel Lima Ferrão, Eduardo A. C. da Costa, José Monteiro 0001, João Baptista dos Santos Martins, Sergio Bampi, Ricardo Augusto da Luz Reis A Comparison of Layout Implementations of Pipelined and Non-Pipelined Signed Radix-4 Array Multiplier and Modified Booth Multiplier Architectures. Search on Bibsonomy VLSI-SoC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
42Hao-Yung Lo, Hsiu-Feng Lin, Chichyang Chen, Jenshiuh Liu, Chia-Cheng Liu Built-in Test with Modified-Booth High-Speed Pipelined Multipliers and Dividers. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF generator, BIST, computer arithmetic, polynomials, VLSI design, multiplication, division
42Meng-Hung Tsai, Yi-Ting Chen, Wen-Sheng Cheng, Jun-Xian Teng, Shyh-Jye Jou Sub-word and reduced-width Booth multipliers for DSP applications. Search on Bibsonomy ISCAS (3) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
42Kazimierz Wiatr, Ernest Jamro Implementation of Multipliers in FPGA Structures. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
42George Havas, Jean-Pierre Seifert The Complexity of the Extended GCD Problem. Search on Bibsonomy MFCS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF computational problems of diophantine equations, extended gcd computations, Approximation algorithms, NP-hardness, probabilistically checkable proofs, interactive proof systems
41Gang Zhou, Harald Michalik, László Hinsenkamp Improving Throughput of AES-GCM with Pipelined Karatsuba Multipliers on FPGAs. Search on Bibsonomy ARC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF AES-GCM, pipelined Karatsuba multiplier, FPGAs, finite field arithmetic
41Abdulah Abdulah Zadeh A Novel Approach for Multiplication over GF(2m) in Polynomial Basis Representation. Search on Bibsonomy ARES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Hybrid multiplier, GF, Polynomial (Standard) Basis, Finite Field
41Johann Großschädl High-Speed RSA Hardware Based on Barret's Modular Reduction Method. Search on Bibsonomy CHES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF RSA algorithm, partial parallel multiplier, full-custom VLSI design, pipelining, Public-key cryptography, modular arithmetic
41David M. Mandelbaum, Stefanie G. Mandelbaum A Fast, Efficient Parallel-Acting Method of Generating Functions Defined by Power Series, Including Logarithm, Exponential, and Sine, Cosine. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF multinomials, multiplier tree, partitions, functions, Arrays, exponential, logarithm, sine, power series, cosine
40Arash Reyhani-Masoleh A New Bit-Serial Architecture for Field Multiplication Using Polynomial Bases. Search on Bibsonomy CHES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Finite or Galois field, Mastrovito multiplier, polynomial basis, bit-serial multiplier
40David W. Matula, Asger Munk Nielsen Pipelined Packet-Forwarding Floating Point: I. Foundations and a Rounder. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1997 DBLP  DOI  BibTeX  RDF pipelined packet forwarding floating point, packet forwarding floating point format, rounder design, packet forwarding format, standard binary IEEE 754 floating point format, multiplication algorithms, ALU pipeline paradigm, data hazards, pipelined floating point operations, execution phases, multiplier packet forwarding pipelines, execution phase, logic levels, multiplier pipelines, forwarding pipelines, IEEE 754 binary floating point compatibility, pipeline arithmetic, data dependent operations
39Md. Ibrahim Faisal, Magdy A. Bayoumi A low-area, low-power programmable frequency multiplier for DLL based clock synthesizers. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
39Tzu-Yuan Kuo, Jinn-Shyan Wang A low-voltage latch-adder based tree multiplier. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
39Chang Sun, Hongjun Wang 0004, Hua Li, Tai-Hoon Kim Perceptually Adaptive Lagrange Multiplier for Rate-Distortion Optimization in H.264. Search on Bibsonomy FGCN (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
39Yunhua Wang, Linda DeBrunner, Dayong Zhou, Victor E. DeBrunner A Multiplier Structure Based on a Novel Real-time CSD Recoding. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
39Ramachandruni Venkata Kamala, M. Sudhakar, M. B. Srinivas An Efficient Reconfigurable Montgomery Multiplier Architecture for GF(n). Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
39Zih-Heng Chen, Ming-Haw Jing, Trieu-Kien Truong, Yaotsu Chang Another Look at the Sequential Multiplier over Normal Bases. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
39Suryanarayana Tatapudi, José G. Delgado-Frias A High Performance Hybrid Wave-Pipelined Multiplier. Search on Bibsonomy ISVLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
39Justin Hensley, Anselmo Lastra, Montek Singh A Scalable Counterflow-Pipelined Asynchronous Radix-4 Booth Multiplier. Search on Bibsonomy ASYNC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
39Jieh-Hwang Yen, Lan-Rong Dung, Chi-Yuan Shen Design of power-aware multiplier with graceful quality-power trade-offs. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
39Kuo-Hsing Cheng, Shu-Ming Chang, Shu-Yu Jiang, Wei-Bin Yang A 2GHz fully differential DLL-based frequency multiplier for high speed serial link circuit. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
39Soonhak Kwon A Low Complexity and a Low Latency Bit Parallel Systolic Multiplier over GF(2m) Using an Optimal Normal Basis of Type II. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
39Minyue Fu 0001, Soura Dasgupta, Yeng Chai Soh Integral quadratic constraint approach vs. multiplier approach. Search on Bibsonomy ICARCV The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
39Kiwon Choi, Minkyu Song Design of a high performance 32×32-bit multiplier with a novel sign select Booth encoder. Search on Bibsonomy ISCAS (2) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
39Lijun Gao, Keshab K. Parhi Custom VLSI design of efficient low latency and low power finite field multiplier for Reed-Solomon codec. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
39Mohammad K. Ibrahim, Abulaziz Almulhem Bit-level pipelined digit serial GF(2m) multiplier. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
39Hyun-Sung Kim 0001, Kee-Young Yoo Area Efficient Exponentiation Using Modular Multiplier/Squarer in GF(2m. Search on Bibsonomy COCOON The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
39Shyh-Jye Jou, Hui-Hsuan Wang Fixed-Width Multiplier for DSP Application. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
39Antonis M. Paschalis, Nektarios Kranitis, Mihalis Psarakis, Dimitris Gizopoulos, Yervant Zorian An Effective BIST Architecture for Fast Multiplier Cores. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
39Chi-Hung Lin, Mohammed Ismail 0001 A 1.8V High Dynamic-Range CMOS High-Speed Four Quadrant Multiplier. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
39Deepak Kapur, Mahadevan Subramaniam Mechanically Verifying a Family of Multiplier Circuits. Search on Bibsonomy CAV The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 3990 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license