The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for routability with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1973-1991 (16) 1992-1994 (22) 1995-1996 (23) 1997-1998 (20) 1999-2000 (24) 2001 (19) 2002 (24) 2003 (35) 2004 (32) 2005 (34) 2006 (30) 2007 (30) 2008 (34) 2009 (24) 2010-2011 (24) 2012-2013 (20) 2014-2015 (17) 2016-2017 (24) 2018-2019 (24) 2020-2021 (16) 2022-2023 (25) 2024 (7)
Publication types (Num. hits)
article(155) inproceedings(369)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 363 occurrences of 173 keywords

Results
Found 524 publication records. Showing 524 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
115Zhe-Wei Jiang, Bor-Yiing Su, Yao-Wen Chang Routability-driven analytical placement by net overlapping removal for large-scale mixed-size designs. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF placement, physical design, routability
82Aiguo Lu, Erik L. Dagless, Jonathan M. Saul DART: delay and routability driven technology mapping for LUT based FPGAs. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF DART, delay driven technology mapping, LUT based FPGAs, two-phased approach, routability directed delay-optimal mapping, stochastic routability analysis, delay-optimal mapping, field programmable gate arrays, delays, logic design, programmable logic arrays, table lookup, minimisation of switching nets
73Elaheh Bozorgzadeh, Seda Ogrenci Memik, Majid Sarrafzadeh RPack: routability-driven packing for cluster-based FPGAs. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
73Wei Li, Dilip K. Banerji Routability Prediction for Hierarchical FPGAs. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
73Kaushik Roy 0001, Sudip Nag Automatic synthesis of FPGA channel architecture for routability and performance. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
72Jin-Tai Yan, Chung-Wei Ke, Zhi-Wei Chen Ordered escape routing via routability-driven pin assignment. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF pcb design, routability, escape routing, pin assignment
72Xiaojian Yang, Bo-Kyung Choi, Majid Sarrafzadeh Routability driven white space allocation for fixed-die standard-cell placement. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF placement, physical design, routability
72PariVallal Kannan, Shankar Balachandran, Dinesh Bhatia On metrics for comparing routability estimation methods for FPGAs. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF RISA, fGREP, routability estimation, FPGA, congestion, rent's rule
62Peter Spindler, Frank M. Johannes Fast and accurate routing demand estimation for efficient routability-driven placement. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
62Chiu-Wing Sham, Evangeline F. Y. Young Routability-driven floorplanner with buffer block planning. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
62Chiu-Wing Sham, Evangeline F. Y. Young Routability driven floorplanner with buffer block planning. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
62R. Glenn Wood, Rob A. Rutenbar FPGA routing and routability estimation via Boolean satisfiability. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
62Yuh-Sheng Lee, Allen C.-H. Wu A performance and routability-driven router for FPGAs considering path delays. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
62Martine D. F. Schlag, Jackson Kong, Pak K. Chan Routability-driven technology mapping for lookup table-based FPGA's. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
62Chih-Liang Eric Cheng RISA: accurate and efficient placement routability modeling. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
62Stephen Dean Brown, Jonathan Rose, Zvonko G. Vranesic A stochastic model to predict the routability of field-programmable gate arrays. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
61Minsik Cho, Katrina Lu, Kun Yuan, David Z. Pan BoxRouter 2.0: A hybrid and robust global router with layer assignment for routability. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF VLSI, physical design, congestion, integer linear programming, global routing, routability, layer assignment
60Samy M. Boshra, Hazem M. Abbas, Ahmed M. Darwish 0001, Ihab E. Talkhan Performance and routability improvements for routability-driven FPGA routers. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
54Muhammet Mustafa Ozdal, Martin D. F. Wong, Philip S. Honsinger Optimal routing algorithms for rectilinear pin clusters in high-density multichip modules. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multi-chip modules, network flow, Escape routing
54Minsik Cho, David Z. Pan BoxRouter: A New Global Router Based on Box Expansion and Progressive ILP. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
54Elaheh Bozorgzadeh, Majid Sarrafzadeh Customized regular channel design in FPGAs. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
54Bo Hu 0006, Malgorzata Marek-Sadowska Congestion minimization during placement without estimation. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
51Akshay Sharma, Carl Ebeling, Scott Hauck Architecture Adaptive Routability-Driven Placement for FPGAs (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
51Xiaojian Yang, Bo-Kyung Choi, Majid Sarrafzadeh Routability-driven white space allocation for fixed-die standard-cell placement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
51PariVallal Kannan, Shankar Balachandran, Dinesh Bhatia Rapid and Reliable Routability Estimation for FPGAs. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
51Vicente Baena Lecuyer, M. A. Aguirre, Antonio Jesús Torralba Silgado, Leopoldo García Franquelo, Julio Faura Decoder-driven switching matrices in multicontext FPGAs: area reduction and their effect on routability. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
51Chi-Chou Kao, Yen-Tai Lai A routability and performance driven technology mapping algorithm for LUT based FPGA designs. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
51Kai Zhu 0001, Martin D. F. Wong Switch bound allocation for maximizing routability in timing-driven routing of FPGA's. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
51Jianmin Li, Chung-Kuan Cheng Routability improvement using dynamic interconnect architecture. Search on Bibsonomy FCCM The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
51Michael D. Osterman, Michael G. Pecht Placement for reliability and routability of convectively cooled PWBs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
51Shigetoshi Nakatake, Zohreh Karimi, Taraneh Taghavi, Majid Sarrafzadeh Block placement to ensure channel routability. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF routing space, placement, channel, routability
51Man-Fai Yu, Wayne Wei-Ming Dai Single-layer fanout routing and routability analysis for Ball Grid Arrays. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF package routing, ball grid array, pin grid array, planar routing, even wiring, fanout routing, routability
43Elaheh Bozorgzadeh, Ryan Kastner, Majid Sarrafzadeh Creating and exploiting flexibility in rectilinear Steiner trees. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
42Shenghua Liu, Guoqiang Chen, Tom Tong Jing, Lei He 0001, Robi Dutta, Xianlong Hong Diffusion-driven congestion reduction for substrate topological routing. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF congestion reduction, ic package, substrate routing, diffusion, routability
42Ji Zhang, David A. J. Pearce Proactive care-of address test for route optimization in FMIPv6. Search on Bibsonomy WMASH The full citation details ... 2005 DBLP  DOI  BibTeX  RDF care-of address, return routability test, handover, mobile IPv6, route optimization
42Yao-Wen Chang, D. F. Wong 0001, C. K. Wong Design and analysis of FPGA/FPIC switch modules. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF FPGA/FPIC switch modules, routing resources, FPIC, network-flow techniques, field programmable interconnect chip, field programmable gate arrays, FPGA, field programmable gate arrays, logic design, heuristic algorithm, programmable logic arrays, routability
41Tung-Chieh Chen, Minsik Cho, David Z. Pan, Yao-Wen Chang Metal-Density-Driven Placement for CMP Variation and Routability. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
41Tung-Chieh Chen, Minsik Cho, David Z. Pan, Yao-Wen Chang Metal-density driven placement for cmp variation and routability. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF VLSI, placement, physical design, manufacturability
41Chen Li 0004, Min Xie 0004, Cheng-Kok Koh, Jason Cong, Patrick H. Madden Routability-Driven Placement and White Space Allocation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
41Masaki Kobata, Masahiro Iida, Toshinori Sueyoshi Effective clustering technique to optimize routability of outer cluster nets. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
41Xuan-Lun Huang, Jiun-Lang Huang A routability constrained scan chain ordering technique for test power reduction. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
41Taraneh Taghavi, Soheil Ghiasi, Majid Sarrafzadeh Routing algorithms: enhancing routability & enabling ECO (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
41William N. N. Hung, Xiaoyu Song, El Mostapha Aboulhamid, Andrew A. Kennings, Alan J. Coppola Segmented channel routability via satisfiability. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Satisfiability
41Hu Huang 0001, Joseph B. Bernstein, Martin Peckerar, Ji Luo 0003 Combined Channel Segmentation and Buffer Insertion for Routability and Performance Improvement of Field. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
40Andrew Lim 0001, Sartaj K. Sahni, Venkat Thanvantri A fast algorithm to test planar topological routability. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF planar topological routability testing, pin nets, single layer routing, IC layout design, VLSI, network topology, network routing, circuit layout CAD, fast algorithm, VLSI layout, integrated circuit layout, linear time algorithm
38Chia-Tung Ho, Alvin Ho, Matthew Fojtik, Minsoo Kim, Shang Wei, Yaguang Li, Brucek Khailany, Haoxing Ren NVCell 2: Routability-Driven Standard Cell Layout in Advanced Nodes with Lattice Graph Routability Model. Search on Bibsonomy ISPD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
38I-Lun Tseng, Zhao Chuan Lee, Vikas Tripathi, Chun Ming Tommy Yip, Zhinan Chen, Jonathan Ong A System for Standard Cell Routability Checking and Placement Routability Improvements. Search on Bibsonomy APCCAS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
32Chiu-Wing Sham, Evangeline F. Y. Young, Jingwei Lu Congestion prediction in early stages of physical design. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF placement, Estimation, floorplanning
32Jin-Tai Yan, Zhi-Wei Chen IO connection assignment and RDL routing for flip-chip designs. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
32Dae Hyun Kim 0004, Sung Kyu Lim Bus-aware microarchitectural floorplanning. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
32Chih-Hung Liu 0001, Yao-Hsin Chou, Shih-Yi Yuan, Sy-Yen Kuo Efficient multilayer routing based on obstacle-avoiding preferred direction steiner tree. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF routing, spanning tree, physical design, steiner tree
32Arijit Ganguly, P. Oscar Boykin, David Wolinsky, Renato J. O. Figueiredo Improving peer connectivity in wide-area overlays of virtual workstations. Search on Bibsonomy HPDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF p2p, DHT, overlay, virtual network
32Minsik Cho, David Z. Pan BoxRouter: a new global router based on box expansion and progressive ILP. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF VLSI, congestion, global routing
32Chiu-Wing Sham, Evangeline F. Y. Young Congestion prediction in early stages. Search on Bibsonomy SLIP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF placement, floorplanning, interconnect estimation
32Ryan Kastner, Elaheh Bozorgzadeh, Majid Sarrafzadeh Pattern routing: use and theory for increasing predictability andavoiding coupling. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
32Xiaojian Yang, Bo-Kyung Choi, Majid Sarrafzadeh A Standard-Cell Placement Tool for Designs with High Row Utilization. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
32Pak K. Chan, Martine D. F. Schlag, Jason Y. Zien Spectral-based multiway FPGA partitioning. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
32Pak K. Chan, Martine D. F. Schlag, Jason Y. Zien Spectral-Based Multi-Way FPGA Partitioning. Search on Bibsonomy FPGA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
31Jin-Tai Yan, Zhi-Wei Chen RDL pre-assignment routing for flip-chip designs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF RDL routing, flip-chip design, routability, wirelength
31Yaoguang Wei, Sheqin Dong, Xianlong Hong, Yuchun Ma An accurate and efficient probabilistic congestion estimation model in x architecture. Search on Bibsonomy SLIP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF congestion estimation, dynamic resource assignment, the X architecture, routability
31Lerong Cheng, Xiaoyu Song, Guowu Yang, Zhiwei Tang A fast congestion estimator for routing with bounded detours. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Congestion Estimation, Routing, Placement, Routability, Probabilistic Methods
31Navaratnasothie Selvakkumaran, Phiroze N. Parakh, George Karypis Perimeter-degree: a priori metric for directly measuring and homogenizing interconnection complexity in multilevel placement. Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF interconnection complexity, multilevel global placement, nonhomogeneity, perimeter-degree, congestion, routability
31Faran Rafiq, Malgorzata Chrzanowska-Jeske, Hannah Honghua Yang, Naveed A. Sherwani Integrated floorplanning with buffer/channel insertion for bus-based microprocessor designs. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF floorplanning, routability, interconnect estimation
31Michael Shyu, Yu-Dong Chang, Guang-Ming Wu, Yao-Wen Chang Generic Universal Switch Blocks. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF HFPGA, logic block, switch block, programmable switch, universal switch block, dimension constraint, FPGA, routing, flexibility, routability
31Hans-Georg Martin, Wolfgang Rosenstiel A Comparing Study of Technology Mapping for FPGA. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF mapping for routability, computation time, design alternatives, FPGA design
30Stephen Jang, Billy Chan, Kevin Chung, Alan Mishchenko WireMap: FPGA Technology Mapping for Improved Routability and Enhanced LUT Merging. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF area flow, cut enumeration, edge flow, FPGA, technology mapping
30Chiu-Wing Sham, Evangeline F. Y. Young, Hai Zhou 0001 Optimizing wirelength and routability by searching alternative packings in floorplanning. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF wirelength reduction, Floorplanning
30Stephen Jang, Billy Chan, Kevin Chung, Alan Mishchenko WireMap: FPGA technology mapping for improved routability. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF area flow, cut enumeration, edge flow, FPGA, technology mapping
30Kanupriya Gulati, Sunil P. Khatri Improving FPGA routability using network coding. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGA, network coding
30Shenghua Liu, Guoqiang Chen, Tom Tong Jing, Lei He 0001, Tianpei Zhang, Robi Dutta, Xianlong Hong Topological routing to maximize routability for package substrate. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF IC package, substrate routing, system in package
30Youngsong Mun, Kyunghye Lee, Seonggeun Ryu, Teail Shin Using Return Routability for Authentication of Fast Handovers in Mobile IPv6. Search on Bibsonomy ICCSA (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Manuel Saldaña, Lesley Shannon, Paul Chow The routability of multiprocessor network topologies in FPGAs. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Manuel Saldaña, Lesley Shannon, Paul Chow The routability of multiprocessor network topologies in FPGAs. Search on Bibsonomy SLIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF FPGA, multiprocessor, network-on-chip, topology, interconnect
30Yu Hu 0002, Tong Jing, Xianlong Hong, Xiaodong Hu 0001, Guiying Yan A Routing Paradigm with Novel Resources Estimation and Routability Models for X-Architecture Based Physical Design. Search on Bibsonomy SAMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Ying Qiu, Jianying Zhou 0001, Robert H. Deng Security Analysis and Improvement of Return Routability Protocol. Search on Bibsonomy MADNES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Redirect Attacks, Security, Authentication, MIPv6
30William N. N. Hung, Xiaoyu Song, T. Kam, Lerong Cheng, Guowu Yang Routability checking for three-dimensional architectures. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30Chen Li 0004, Min Xie 0004, Cheng-Kok Koh, Jason Cong, Patrick H. Madden Routability-driven placement and white space allocation. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30Jing Huang 0001, Mehdi Baradaran Tahoori, Fabrizio Lombardi Routability and Fault Tolerance of FPGA Interconnect Architectures. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30Niwat Waropus, Rajendar Koltur, Malgorzata Chrzanowska-Jeske Graph-based approach to evaluate net routability of a floorplan. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
30Zhibin Dai, Dilip K. Banerji Routability Prediction for Field Programmable Gate Arrays with a Routing Hierarchy. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
30Shih-Ping Lin 0001, Yao-Wen Chang A novel framework for multilevel routing considering routability and performance. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
30Probir Sarkar, Cheng-Kok Koh Routability-driven repeater block planning for interconnect-centricfloorplanning. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
30Mehdi M. Mechaik Electrical Characterization of Signal Routability and Performance. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
30Toshiyuki Hama, Hiroaki Etoh Topological routing path search algorithm with incremental routability test. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
30Jianmin Li, Chung-Kuan Cheng Routability improvement using dynamic interconnect architecture. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
30Jordan S. Swartz, Vaughn Betz, Jonathan Rose A Fast Routability-Driven Router for FPGAs. Search on Bibsonomy FPGA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
21Natarajan Viswanathan, Gi-Joon Nam, Jarrod A. Roy, Zhuo Li 0001, Charles J. Alpert, Shyam Ramji, Chris Chu ITOP: integrating timing optimization within placement. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF placement, timing optimization, physical synthesis
21Shenghua Liu, Guoqiang Chen, Tom Tong Jing, Lei He 0001, Tianpei Zhang, Robi Dutta, Xianlong Hong Substrate Topological Routing for High-Density Packages. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Jinpeng Zhao, Qiang Zhou 0001, Yici Cai Fast congestion-aware timing-driven placement for island FPGA. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Ke-Ren Dai, Wen-Hao Liu, Yih-Lang Li Efficient simulated evolution based rerouting and congestion-relaxed layer assignment on 3-D global routing. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Valerij Matrose, Carsten Gremzow Improved placement for hierarchical FPGAs exploiting local interconnect resources. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF FPGA, interconnect, placement
21Wenyi Feng, Sinan Kaptanoglu Designing Efficient Input Interconnect Blocks for LUT Clusters Using Counting and Entropy. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF cluster, FPGAs, entropy, interconnect, counting, PLDs, LUT
21Zhen Cao, Tong Jing, Jinjun Xiong, Yu Hu 0002, Zhe Feng 0002, Lei He 0001, Xianlong Hong Fashion: A Fast and Accurate Solution to Global Routing Problem. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Peter Spindler, Ulf Schlichtmann, Frank M. Johannes Abacus: fast legalization of standard cell circuits with minimal movement. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF minimal movement, standard cell circuits, dynamic programming, legalization
21Wenyi Feng, Sinan Kaptanoglu Designing efficient input interconnect blocks for LUT clusters using counting and entropy. Search on Bibsonomy FPGA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF cluster, FPGAs, entropy, interconnect, counting, PLDs, LUT
21Minsik Cho, Katrina Lu, Kun Yuan, David Z. Pan BoxRouter 2.0: architecture and implementation of a hybrid and robust global router. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Muhammet Mustafa Ozdal, Martin D. F. Wong Archer: a history-driven global routing algorithm. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Min Pan, Chris C. N. Chu IPR: An Integrated Placement and Routing Algorithm. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Jarrod A. Roy, Saurabh N. Adya, David A. Papa, Igor L. Markov Min-cut floorplacement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Joseph S. Kong, Jesse S. A. Bridgewater, Vwani P. Roychowdhury A General Framework for Scalability and Performance Analysis of DHT Routing Systems. Search on Bibsonomy DSN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 524 (100 per page; Change: )
Pages: [1][2][3][4][5][6][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license