The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for sequential with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1955-1959 (19) 1960-1961 (16) 1962 (17) 1963 (20) 1964 (24) 1965 (38) 1966 (42) 1967 (43) 1968 (43) 1969 (40) 1970 (41) 1971 (62) 1972 (42) 1973 (45) 1974 (58) 1975 (50) 1976 (44) 1977 (52) 1978 (49) 1979 (43) 1980 (58) 1981 (47) 1982 (54) 1983 (62) 1984 (65) 1985 (56) 1986 (67) 1987 (84) 1988 (153) 1989 (170) 1990 (195) 1991 (188) 1992 (235) 1993 (256) 1994 (316) 1995 (370) 1996 (337) 1997 (396) 1998 (356) 1999 (450) 2000 (528) 2001 (543) 2002 (596) 2003 (672) 2004 (859) 2005 (998) 2006 (1075) 2007 (1184) 2008 (1182) 2009 (995) 2010 (619) 2011 (521) 2012 (607) 2013 (615) 2014 (632) 2015 (700) 2016 (734) 2017 (842) 2018 (910) 2019 (1070) 2020 (1175) 2021 (1222) 2022 (1282) 2023 (1460) 2024 (382)
Publication types (Num. hits)
article(11316) book(35) data(1) incollection(167) inproceedings(14290) phdthesis(296) proceedings(1)
Venues (Conferences, Journals, ...)
CoRR(2304) IEEE Trans. Computers(364) IEEE Trans. Comput. Aided Des....(256) IEEE Trans. Inf. Theory(232) ICASSP(207) IEEE Access(202) IEEE Trans. Signal Process.(166) DAC(160) ICCAD(152) WSC(134) VLSI Design(133) AAAI(132) IPDPS(125) CIKM(123) Neurocomputing(114) ICML(102) More (+10 of total 3888)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 11369 occurrences of 4563 keywords

Results
Found 26106 publication records. Showing 26106 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
67Srimat T. Chakradhar, Vijay Gangaram, Steven G. Rothweiler Deriving Signal Constraints to Accelerate Sequential Test Generation. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF sequential test generation algorithm acceleration, signal constraints, large sequential circuits, deterministic sequential test generation, signal constraint computation technique, line probabilities, line justification techniques, benchmark sequential circuits, test generation time reduction, production sequential circuits, 3-valued signal probabilities, fault diagnosis, fault coverage, symbolic simulation, truth table
62Peter Wegner Granularity of modules in object-based concurrent systems. Search on Bibsonomy OOPSLA/ECOOP Workshop on Object-based Concurrent Programming The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
56Hye-Chung Kum, Joong Hyuk Chang, Wei Wang 0010 Sequential Pattern Mining in Multi-Databases via Multiple Alignment. Search on Bibsonomy Data Min. Knowl. Discov. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF approximate sequential pattern, mining local pattern, global sequential pattern, sequential patterns, data mining algorithm, multiple alignment
52Soumitra Bose, Vishwani D. Agrawal Sequential logic path delay test generation by symbolic analysis. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF sequential logic path delay test generation, two-vector test sequences, non-scan sequential circuit, multivalued algebras, three-vector test sequences combinational logic, value propagation rule, ISCAS89 benchmarks, fault diagnosis, logic testing, delays, Boolean functions, Boolean functions, finite state machines, finite state machines, sequential circuits, encoding, automatic testing, Binary Decision Diagrams, multivalued logic, sequential machines, symbolic analysis, combinational logic, state transitions
50Unil Yun Analyzing Sequential Patterns in Retail Databases. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF sequential ws-confidence, weighted support affinity, data mining, sequential pattern mining
48Hiroshi Date, Michinobu Nakao, Kazumi Hatayama A parallel sequential test generation system DESCARTES based on real-valued logic simulation. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF parallel sequential test generation system, DESCARTES, real-valued logic simulation, redundant fault identification program, algorithmic test generation program, ISCAS '89 benchmark sequential circuits, distributed processing environment oriented system, concurrent accelerative test generation, parallel algorithms, computational complexity, VLSI, fault diagnosis, logic testing, redundancy, design for testability, sequential circuits, logic CAD, VLSI design, stuck-at faults, automatic test generation, synchronous sequential circuits, automatic test software, test quality
47Yanchang Zhao, Huaifeng Zhang, Longbing Cao, Chengqi Zhang, Hans Bohlscheid Mining Both Positive and Negative Impact-Oriented Sequential Rules from Transactional Data. Search on Bibsonomy PAKDD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF negative sequential rules, sequential pattern mining
47Jing Lu 0001, Osei Adjei, Weiru Chen, Jun Liu Post Sequential Patterns Mining. Search on Bibsonomy Intelligent Information Processing The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Post Sequential Patterns Mining, Sequential Patterns Graph, Concurrent Branch Patterns, Structural Pattern
47Yuri Gurevich Sequential abstract-state machines capture sequential algorithms. Search on Bibsonomy ACM Trans. Comput. Log. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Turing's thesis, sequential ASM thesis, specification, abstract-state machine, executable specification, sequential algorithm
45C. Rominger, Jean Claude Geffroy Hazard analysis of structured sequential systems. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF structured sequential systems, time uncertainties, asynchronous sequential systems, nondeterministic phenomena, simulation method, structured systems, fault diagnosis, CAD, logic testing, timing, sequential circuits, logic CAD, asynchronous circuits, digital simulation, time analysis, circuit analysis computing, hazard analysis, asynchronous sequential logic
45Wei Cui, Sen Wu 0001, Yuan Zhang, Lian-Chang Chen Algorithm of mining sequential patterns for web personalization services. Search on Bibsonomy Data Base The full citation details ... 2009 DBLP  DOI  BibTeX  RDF contiguous sequential pattern mining, maximal frequent sequence, som, clustering, weight, web personalization
45Binny S. Gill, Luis Angel D. Bathen Optimal multistream sequential prefetching in a shared cache. Search on Bibsonomy ACM Trans. Storage The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Adaptive prefetching, asynchronous prefetching, degree of prefetch, fixed prefetching, multistream read, optimal prefetching, prefetch wastage, prestaging, sequential prefetching, synchronous prefetching, trigger distance, cache pollution
45Hideo Fujiwara A New Class of Sequential Circuits with Combinational Test Generation Complexity. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF complexity, test generation, design for testability, sequential circuits, reducibility, partial scan, Balanced structure
43Roman Fric Measures on MV-algebras. Search on Bibsonomy Soft Comput. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Semisimple M V -algebra, Initial sequential convergence, I -sequential M V -algebra, Sequential continuity, Absolutely sequentially closed object, Epireflection, Sequentially continuous measure, ?-completion, Extension of measures, Natural equivalence, Bold algebra, ?ukasiewicz tribe, Measurable map, Probability event, D -poset, Coproduct, Quantum structure, Observable, Duality, Measurable space
43Debesh K. Das, Uttam K. Bhattacharya, Bhargab B. Bhattacharya Isomorph-redundancy in sequential circuits. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF isomorph-redundancy, reduced sequential machine, infinite family, VLSI, logic testing, redundancy, integrated circuit testing, design for testability, logic design, sequential circuits, sequential circuits, DFT, state diagram
43Debesh Kumar Das, Bhargab B. Bhattacharya Does retiming affect redundancy in sequential circuits? Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF combinational redundancy, sequential redundancy, fault diagnosis, logic testing, timing, redundancy, test generation, design for testability, sequential circuits, sequential circuit, fault, retiming, logic optimization, operation speed
43Gregory W. Cook, Edward J. Delp Multiresolution sequential edge linking. Search on Bibsonomy ICIP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF multiresolution sequential edge linking, sequential search algorithm, multiresolution image pyramid, global edge information, image edges, sequential search parameters, edge detection, edge detection, parameter estimation, parameter estimation, search problems, image resolution
43Jason P. Hurst, Nick Kanopoulos Flip-flop sharing in standard scan path to enhance delay fault testing of sequential circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF flip-flop sharing, standard scan path, standard scan path design, two-vector test sets, VLSI, fault diagnosis, logic testing, delays, design for testability, logic design, sequential circuits, sequential circuits, flip-flops, integrated logic circuits, sequential machines, delay fault testing
43Patrick Girard 0001, Christian Landrault, Serge Pravossoudovitch, B. Rodriguez Diagnostic of path and gate delay faults in non-scan sequential circuits. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF nonscan sequential circuits, self-masking identification, fault diagnosis, fault diagnosis, logic testing, delays, integrated circuit testing, sequential circuits, automatic testing, integrated logic circuits, path delay faults, synchronous sequential circuits, path tracing, gate delay faults
43Kim L. Boyer, Muhammad J. Mirza, Gopa Ganguly The Robust Sequential Estimator: A General Approach and its Application to Surface Organization in Range Data. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF robust sequential estimator, surface organization, autonomous statistically robust sequential function approximation, partially occluded surfaces, noisy outlier-ridden functional range data, sequential least squares, surface characterization techniques, surface hypotheses, noisy depth map, unknown 3-D scene, seed points, modified Akaike Information Criterion, prune stage, coincidental surface alignment, weighted voting scheme, 5/spl times/5 decision window, ambiguous point, majority consensus, image segmentation, information theory, decision theory, parameterization, function approximation, estimation theory, range data, parameter space
43Mark B. Josephs Models for Data-Flow Sequential Processes. Search on Bibsonomy 25 Years Communicating Sequential Processes The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
42Jian Pei, Jiawei Han 0001, Behzad Mortazavi-Asl, Jianyong Wang 0001, Helen Pinto, Qiming Chen, Umeshwar Dayal, Meichun Hsu Mining Sequential Patterns by Pattern-Growth: The PrefixSpan Approach. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF scalability, performance analysis, sequential pattern, frequent pattern, Data mining algorithm, transaction database, sequence database
42Hideo Fujiwara A New Definition and a New Class of Sequential Circuits with Combinational Test Generation Complexity. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF complexity, test generation, design for testability, sequential circuits, reducibility, partial scan, Balanced structure
41Xiang Wan, Guohui Lin CISA: Combined NMR Resonance Connectivity Information Determination and Sequential Assignment. Search on Bibsonomy IEEE ACM Trans. Comput. Biol. Bioinform. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF NMR sequential resonance assignment, spin system, spin system sequential connectivity, spin system residual signature, spin system assignment
40Nikola S. Subotic, Brian J. Thelen Sequential processing of SAR phase history data for rapid detection. Search on Bibsonomy ICIP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF radar target recognition, radar detection, SAR phase history data, rapid detection, Wald sequential hypothesis test, 2D autoregressive signal model, cultural objects, sequential probability ratio decision statistic, Brownian motion process, probability, synthetic aperture radar, synthetic aperture radar, radar imaging, drift, Brownian motion, autoregressive processes, sequential processing
40Debesh K. Das, Bhargab B. Bhattacharya Testable design of non-scan sequential circuits using extra logic. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF nonscan sequential circuits, sequentially redundant faults, multiple stuck-at-fault model, augmented logic, performance evaluation, logic testing, redundancy, test generation, design for testability, logic design, sequential circuits, logic synthesis, synchronous sequential circuits, benchmark circuits, testable design
40Tan-Li Chou, Kaushik Roy 0001 Statistical estimation of sequential circuit activity. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Markov chain theory, sequential circuit activity, sequential logic circuits, signal activity, transient problem, sequential circuits, logic CAD, Monte Carlo, Monte Carlo methods, Monte Carlo technique
40Alan D. Marrs In-Situ Ellipsometry Solutions Using Sequential Monte Carlo. Search on Bibsonomy Sequential Monte Carlo Methods in Practice The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
40Petar M. Djuric Sequential Estimation of Signals under Model Uncertainty. Search on Bibsonomy Sequential Monte Carlo Methods in Practice The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
40Nando de Freitas, Christophe Andrieu, Pedro A. d. F. R. Højen-Sørensen, M. Niranjan, A. Gee Sequential Monte Carlo Methods for Neural Networks. Search on Bibsonomy Sequential Monte Carlo Methods in Practice The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
40Arnaud Doucet, Nando de Freitas, Neil J. Gordon An Introduction to Sequential Monte Carlo Methods. Search on Bibsonomy Sequential Monte Carlo Methods in Practice The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
40Jun S. Liu, Rong Chen, Tanya Logvinenko A Theoretical Framework for Sequential Importance Sampling with Resampling. Search on Bibsonomy Sequential Monte Carlo Methods in Practice The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
40Christophe Andrieu, Arnaud Doucet, Elena Punskaya Sequential Monte Carlo Methods for Optimal Filtering. Search on Bibsonomy Sequential Monte Carlo Methods in Practice The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
40Niclas Bergman Posterior Cramér-Rao Bounds for Sequential Estimation. Search on Bibsonomy Sequential Monte Carlo Methods in Practice The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
39Veera Boonjing, Panida Songram Efficient Algorithms for Mining Closed Multidimensional Sequential Patterns. Search on Bibsonomy FSKD (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
38Yongxin Tong, Zhao Li, Dan Yu, Shilong Ma, Zhiyuan Cheng 0004, Ke Xu 0001 Mining Compressed Repetitive Gapped Sequential Patterns Efficiently. Search on Bibsonomy ADMA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF repetitive gapped sequential pattern, compressing frequent patterns
37Themis P. Exarchos, Markos G. Tsipouras, Costas Papaloukas, Dimitrios I. Fotiadis An optimized sequential pattern matching methodology for sequence classification. Search on Bibsonomy Knowl. Inf. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Sequential pattern matching, Optimization, Sequential pattern mining, Sequence classification
37Min-Lun Chuang, Chun-Yao Wang Synthesis of reversible sequential elements. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF sequential elements, sequential circuits, Reversible logic
37Edward David Moreno Ordonez, Sergio Takeo Kofuji Performance evaluation of the fixed sequential prefetching on a bus-based multiprocessor: preliminary results. Search on Bibsonomy ISPAN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF fixed sequential prefetching, bus-based multiprocessor, sequential prefetching, OBL policy, performance evaluation, performance evaluation, Petri nets, Petri nets, shared memory systems, shared memory systems, cache storage, data prefetching
37José Monteiro 0001, John Rinderknecht, Srinivas Devadas, Abhijit Ghosh Optimization of combinational and sequential logic circuits for low power using precomputation. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF sequential logic circuits, low power optimisation, logic optimization technique, precomputation architectures, logic synthesis methods, transmission gates, transparent latches, switching activity reduction, power dissipation reduction, VLSI, logic design, sequential circuits, combinational circuits, integrated circuit design, CMOS logic circuits, circuit optimisation, precomputation, combinational logic circuits, clock cycle
37Samir Lejmi, Bozena Kaminska, Bechir Ayari Retiming, resynthesis, and partitioning for the pseudo-exhaustive testing of sequential circuits. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF segmentation cells, segmentation edges, logic testing, partitioning, timing, sequential circuits, sequential circuits, iterative methods, circuit analysis computing, retiming, iterative algorithm, circuit optimisation, logic partitioning, logic optimization, resynthesis, synchronous circuits, pseudo-exhaustive testing
36A. Djerrah, Bertrand Le Cun, Van-Dat Cung, Catherine Roucairol Bob++: Framework for Solving Optimization Problems with Branch-and-Bound methods. Search on Bibsonomy HPDC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF INRIA Apache project, Bob++ framework, optimization problem solving, sequential search algorithm, parallel search algorithm, SMP machines, global priority queue, sequential data structure encapsulation, Bob++ library, Athapascan parallel programming interface, C++ library, dynamic programming, sequential machines, cluster architecture, branch-and-bound method, divide-and-conquer method, grid architecture, load balancing strategy
36Tapan J. Chakraborty, Vishwani D. Agrawal Design for high-speed testability of stuck-at faults. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF design for high-speed testability, stuck-at fault detection, signal transition, timing hazard, multivalue algebra, dh-robust test, sequential feedback, reconvergent fanout, cycle-free sequential circuit, fault diagnosis, logic testing, delays, design for testability, logic design, sequential circuits, partial scan, test generation algorithm, critical path delay
36Robert Kramer, Rajiv Gupta 0001, Mary Lou Soffa The Combining DAG: A Technique for Parallel Data Flow Analysis. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF parallel data flow analysis, sequential data flow algorithm, parallel data flow algorithms, rapid data flow problems, cyclic structures, combining directed acyclic graph, parallelized sequential algorithm, performance, parallel algorithms, parallel algorithm, parallel processing, parallel programming, software tools, multiprocessors, directed graphs, program compilers, DAG, parallel compilers, control flow graph, sequential algorithm
36Michael J. Butler, C. A. R. Hoare, Carla Ferreira 0001 A Trace Semantics for Long-Running Transactions. Search on Bibsonomy 25 Years Communicating Sequential Processes The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
36Ad M. G. Peeters Implementation of Handshake Components. Search on Bibsonomy 25 Years Communicating Sequential Processes The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
36Stephen D. Brookes Retracing the Semantics of CSP. Search on Bibsonomy 25 Years Communicating Sequential Processes The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
36Carroll Morgan Of Probabilistic Wp and SP-and Compositionality. Search on Bibsonomy 25 Years Communicating Sequential Processes The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
36Arun Balakrishnan, Srimat T. Chakradhar Software transformations for sequential test generation. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF sequential test generation, high fault coverage test sets, testability properties, inverse mapping, software engineering, logic testing, timing, design for testability, sequential circuits, sequential circuits, DFT, software model, software transformations
36Tan-Li Chou, Kaushik Roy 0001 Estimation of sequential circuit activity considering spatial and temporal correlations. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF sequential circuit activity estimation, signal activity, internal nodes, sequential logic circuits, logic signals, ESTG, extended state transition graph, exact signal probabilities, large circuits, state logic, logic simulation results, graph theory, finite state machines, finite state machine, sequential circuits, spatial correlations, circuit switching, switching activities, approximate method, temporal correlations
36O. A. Petlin, Stephen B. Furber Scan testing of asynchronous sequential circuits. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF micropipeline design style, combinational block, state holding elements, standard test generation techniques, VLSI, logic testing, delays, integrated circuit testing, logic design, sequential circuits, asynchronous circuits, integrated logic circuits, delay faults, boundary scan testing, scan testing, single stuck-at faults, asynchronous sequential logic, asynchronous sequential circuits
36Changhai Zhang, Kongfa Hu, Zhuxi Chen, Ling Chen 0005, Yisheng Dong ApproxMGMSP: A Scalable Method of Mining Approximate Multidimensional Sequential Patterns on Distributed System. Search on Bibsonomy FSKD (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
36Panida Songram, Veera Boonjing, Sarun Intakosum Closed Multidimensional Sequential Pattern Mining. Search on Bibsonomy ITNG The full citation details ... 2006 DBLP  DOI  BibTeX  RDF multidimensional pattern mining, closed pattern mining, data mining
36Loganathan Lingappan, Niraj K. Jha Improving the Performance of Automatic Sequential Test Generation by Targeting Hard-to-Test Faults. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
36Chao-Yang Yeh, Malgorzata Marek-Sadowska Minimum-Area Sequential Budgeting for FPGA. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
35Julien Blanchard 0001, Fabrice Guillet, Régis Gras Assessing the interestingness of temporal rules with Sequential Implication Intensity. Search on Bibsonomy Statistical Implicative Analysis The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Interestingness Measures for Sequential Rules, Rule Significance, Temporal Data Mining, Event Sequences
35Weimin Ouyang, Qinhua Huang Mining Direct and Indirect Fuzzy Sequential Patterns in Large Transaction Databases. Search on Bibsonomy ICIC (3) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Fuzzy logic, Sequential pattern, Indirect
35Jen-Peng Huang, Guo-Cheng Lan, Huang-Cheng Kuo A Gradational Reduction Approach for Mining Sequential Patterns. Search on Bibsonomy IEA/AIE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF data mining, algorithm, sequential patterns
35Unil Yun Mining Sequential Support Affinity Patterns with Weight Constraints. Search on Bibsonomy ICDCIT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF support affinity pattern, data mining, sequential pattern mining
35Christie I. Ezeife, Yi Lu Mining Web Log Sequential Patterns with Position Coded Pre-Order Linked WAP-Tree. Search on Bibsonomy Data Min. Knowl. Discov. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF WAP-tree mining, pre-order linkage, position codes, apriori techniques, Web usage mining, sequential patterns
35Ming-Yen Lin, Suh-Yin Lee Efficient mining of sequential patterns with time constraints by delimited pattern growth. Search on Bibsonomy Knowl. Inf. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Pattern-growth, Data mining, Time constraint, Sequential patterns, Sequence mining
35Marc Plantevit, Yeow Wei Choong, Anne Laurent, Dominique Laurent 0001, Maguelonne Teisseire M2SP: Mining Sequential Patterns Among Several Dimensions. Search on Bibsonomy PKDD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Multidimensional Rules, Data Mining, Sequential Patterns
35Shuai Ma 0001, Shiwei Tang, Dongqing Yang, Tengjiao Wang 0003, Chanjun Yang Incremental Maintenance of Discovered Mobile User Maximal Moving Sequential Patterns. Search on Bibsonomy DASFAA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Moving sequential pattern, Data mining, Incremental maintenance
35Ruey-Shun Chen, Gwo-Hshiung Tzeng, C. C. Chen, Yi-Chung Hu Discovery of Fuzzy Sequential Patterns for Fuzzy Partitions in Quantitative Attributes. Search on Bibsonomy AICCSA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Fuzzy sequential patterns, Data mining, Database, Knowledge acquisition, Fuzzy partitions
35Satoshi Ohtake, Tomoo Inoue, Hideo Fujiwara Sequential Test Generation Based on Circuit Pseudo-Transformation. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF acyclic structure, circuit pseudo-transformations, test generation, Sequential circuits, balanced structure
34Ying Chen, Karthik Ranganathan, Vasudev V. Pai, David J. Lilja, Kia Bazargan A Novel Memory Structure for Embedded Systems: Flexible Sequential and Random Access Memory. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF sequential access buffer, media benchmark, flexible sequential and random access memory, on-chip memory
34Ernesto Jiménez, Antonio Fernández 0001, Vicent Cholvi A Parametrized Algorithm that Implements Sequential, Causal, and Cache Memory Consistency. Search on Bibsonomy PDP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Distributed shared memory (DSM), causal and cache consistency models, fast memory operations, full replication of data, invalidation and propagation of data, causal and cache algorithms, sequential, sequential
34Li Shen 0002 Genetic Algorithm Based Test Generation for Sequential Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF sequential depth analysis, dynamic testability measure, genetic algorithm, test generation, Sequential testing
34Richard M. Chou, Kewal K. Saluja Sequential Circuit Testing: From DFT to SFT. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF design-for-testability methods, SFT techniques, large sequential circuits, logic testing, automatic test pattern generation, ATPG, synthesis-for-testability, sequential circuit testing, DFT techniques
34Sujit Dey, Srimat T. Chakradhar Design of testable sequential circuits by repositioning flip-flops. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF cycle-breaking, flip-flop minimization, sequential redundancy, design for testability, sequential circuits, retiming, partial scan, strongly connected components, redundant fault
33James P. McDermott, Gutti Jogesh Babu, John C. Liechty, Dennis K. J. Lin Data skeletons: simultaneous estimation of multiple quantiles for massive streaming datasets with applications to density estimation. Search on Bibsonomy Stat. Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Sequential quantile estimation, Sequential density estimation, Online algorithms, Cubic spline, Sequential algorithms
33Yasumasa Hayashi, Takashi Matsubara 0002, Yoshiaki Koga Implementation and evaluation for dependable bus control using CPLD. Search on Bibsonomy PRDC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF phase control, dependable bus control, bus systems, dependable bus operations, bus phase control, reliability, dependability, sequential circuits, system buses, CPLD, asynchronous sequential logic, asynchronous sequential circuit
33Ranko Lazic 0001, Thomas Christopher Newcomb, A. W. Roscoe 0001 On Model Checking Data-Independent Systems with Arrays with Whole-Array Operations. Search on Bibsonomy 25 Years Communicating Sequential Processes The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
33David May 0001 CSP, occam and Transputers. Search on Bibsonomy 25 Years Communicating Sequential Processes The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
33Peter H. Welch, Fred R. M. Barnes Communicating Mobile Processes. Search on Bibsonomy 25 Years Communicating Sequential Processes The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
33Sadie Creese Industrial Strength CSP: Opportunities and Challenges in Model-Checking. Search on Bibsonomy 25 Years Communicating Sequential Processes The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
33Peter Y. A. Ryan Shedding Light on Haunted Corners of Information Security. Search on Bibsonomy 25 Years Communicating Sequential Processes The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
33A. W. Roscoe 0001 Seeing Beyond Divergence. Search on Bibsonomy 25 Years Communicating Sequential Processes The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
33Jeff Magee, Jeff Kramer Model-Based Design of Concurrent Programs. Search on Bibsonomy 25 Years Communicating Sequential Processes The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
33Michael Goldsmith Operational Semantics for Fun and Profit. Search on Bibsonomy 25 Years Communicating Sequential Processes The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
33Jonathan Lawrence Practical Application of CSP and FDR to Software Design. Search on Bibsonomy 25 Years Communicating Sequential Processes The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
33Mike Reed Order, Topology, and Recursion Induction in CSP. Search on Bibsonomy 25 Years Communicating Sequential Processes The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
33Steve A. Schneider, Rob Delicata Verifying Security Protocols: An Application of CSP. Search on Bibsonomy 25 Years Communicating Sequential Processes The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
33Jifeng He 0001 Linking Theories of Concurrency. Search on Bibsonomy 25 Years Communicating Sequential Processes The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
33C. A. R. Hoare Process Algebra: A Unifying Approach. Search on Bibsonomy 25 Years Communicating Sequential Processes The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
33Jan Peleska 0001 Applied Formal Methods - From CSP to Executable Hybrid Specifications. Search on Bibsonomy 25 Years Communicating Sequential Processes The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
33Jane Liu, Mike West Combined Parameter and State Estimation in Simulation-Based Filtering. Search on Bibsonomy Sequential Monte Carlo Methods in Practice The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
33Anuj Srivastava, Aaron D. Lanterman, Ulf Grenander, Marc Loizeaux, Michael I. Miller Monte Carlo Techniques for Automated Target Recognition. Search on Bibsonomy Sequential Monte Carlo Methods in Practice The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
33Michael K. Pitt, Neil Shephard Auxiliary Variable Based Particle Filters. Search on Bibsonomy Sequential Monte Carlo Methods in Practice The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
33Erik Bølviken, Geir Storvik Deterministic and Stochastic Particle Filters in State-Space Models. Search on Bibsonomy Sequential Monte Carlo Methods in Practice The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
33Markus Hürzeler, Hans R. Künsch Approximating and Maximising the Likelihood for a General State-Space Model. Search on Bibsonomy Sequential Monte Carlo Methods in Practice The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
33Genshiro Kitagawa, Seisho Sato Monte Carlo Smoothing and Self-Organising State-Space Model. Search on Bibsonomy Sequential Monte Carlo Methods in Practice The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
33Photis Stavropoulos, D. M. Titterington Improved Particle Filters and Smoothing. Search on Bibsonomy Sequential Monte Carlo Methods in Practice The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
33Shaun McGinnity, George W. Irwin Manoeuvring Target Tracking Using a Multiple-Model Bootstrap Filter. Search on Bibsonomy Sequential Monte Carlo Methods in Practice The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
33Kevin Murphy 0002, Stuart Russell 0001 Rao-Blackwellised Particle Filtering for Dynamic Bayesian Networks. Search on Bibsonomy Sequential Monte Carlo Methods in Practice The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
33Andrew Blake 0001, Michael Isard, John MacCormick Statistical Models of Visual Shape and Motion. Search on Bibsonomy Sequential Monte Carlo Methods in Practice The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
33Tomoyuki Higuchi Self-Organizing Time Series Model. Search on Bibsonomy Sequential Monte Carlo Methods in Practice The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
33Christian Musso, Nadia Oudjane, François Le Gland Improving Regularised Particle Filters. Search on Bibsonomy Sequential Monte Carlo Methods in Practice The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
33Daphne Koller, Uri Lerner Sampling in Factored Dynamic Systems. Search on Bibsonomy Sequential Monte Carlo Methods in Practice The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
33Carlo Berzuini, Walter R. Gilks RESAMPLE-MOVE Filtering with Cross-Model Jumps. Search on Bibsonomy Sequential Monte Carlo Methods in Practice The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 26106 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license