|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 55139 occurrences of 14386 keywords
|
|
|
Results
Found 84777 publication records. Showing 84777 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
37 | Norman Wilde, Christopher Casey |
Early Field Experience with the Software Recounaissance Technique for Program Comprehension. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WCRE ![In: 3rd Working Conference on Reverse Engineering, WCRE '96, Monterey, CA, USA, November 8-10, 1996, pp. 270-276, 1996, IEEE Computer Society, 0-8186-7674-4. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
Software Reconnaissance technique, dynamic analysis technique, program enhancement, unfamiliar system, RECON tool, traceability relation, technology transfer project, Software Engineering Research Center, industrial technique, reverse engineering, design patterns, software maintenance, program comprehension |
34 | Muhammad Kafil, Ishfaq Ahmad |
Optimal task assignment in heterogeneous computing systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Heterogeneous Computing Workshop ![In: 6th Heterogeneous Computing Workshop, HCW 1997, Geneva, Switzerland, April 1, 1997, pp. 135-146, 1997, IEEE Computer Society, 0-8186-7879-8. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
optimal task assignment, best-first search technique, assignment algorithm, distributed systems, computational complexity, artificial intelligence, high-performance computing, NP-hard, time complexity, clustering technique, search technique, heuristic techniques, heterogeneous computing systems |
29 | Anna Schieben, Matthias Heesen, Julian Schindler, Johann Kelsch, Frank Flemisch |
The theater-system technique: agile designing and testing of system behavior and interaction, applied to highly automated vehicles. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AutomotiveUI ![In: Proceedings of 1st International Conference on Automotive User Interfaces and Interactive Vehicular Applications, AutomotiveUI 2009, in-cooperation with ACM SIGCHI, Essen, Germany, 21-22 September 2009, pp. 43-46, 2009, ACM, 978-1-60558-571-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Wizard-of-Oz technique, balanced design, highly automated vehicles, theater-system technique, user-centered design, design process, haptic interaction |
28 | Dong Hyun Jeong, Young Hye Jeon, Jeom Keun Kim, Songyong Sim, Chang Geun Song |
Force-based velocity control technique in immersive V.E. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GRAPHITE ![In: Proceedings of the 2nd International Conference on Computer Graphics and Interactive Techniques in Australasia and Southeast Asia 2004, Singapore, June 15-18, 2004, pp. 237-241, 2004, ACM, 1-58113-883-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
virtual travel technique, virtual reality, 3D interaction technique |
28 | Yan Solihin, Graham Leedham |
Mathematical properties of the native integral ratio handwriting and text extraction technique. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDAR ![In: 4th International Conference Document Analysis and Recognition (ICDAR '97), 2-Volume Set, August 18-20, 1997, Ulm, Germany, Proceedings, pp. 1102-, 1997, IEEE Computer Society, 0-8186-7898-4. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
native integral ratio technique, text extraction technique, foreground-background separation, grey-scale handwriting image, pixels groups, fuzzy group, thresholded images, thresholding techniques, hypothetical Gaussian distributions, distribution strength, strong uniform noise, mathematical properties, performance, handwriting recognition, variance |
28 | Michel Renovell, Florence Azaïs, Yves Bertrand |
The multi-configuration: A DFT technique for analog circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 14th IEEE VLSI Test Symposium (VTS'96), April 28 - May 1, 1996, Princeton, NJ, USA, pp. 54-59, 1996, IEEE Computer Society, 0-8186-7304-4. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
multi-configuration technique, diagnosis facilities, 8/sup th/ order band pass filter, integrated circuit testing, design for testability, integrated circuit design, analog circuits, analogue integrated circuits, band-pass filters, DFT technique |
28 | Anthony Martinet, Géry Casiez, Laurent Grisoni |
The design and evaluation of 3D positioning techniques for multi-touch displays. ![Search on Bibsonomy](Pics/bibsonomy.png) |
3DUI ![In: IEEE Symposium on 3D User Interfaces, 3DUI 2010, Waltham, MA, USA, 20-21 March, 2010, pp. 115-118, 2010, IEEE Computer Society, 978-1-4244-6846-1. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
statu quo viewport technique, 3D positioning techniques, multitouch displays, 3D data manipulation, commercial CAD applications, Z-technique, interaction technique |
28 | Patrick Maier 0002, Marcus Tönnis, Gudrun Klinker, Alexander Raith, Markus Drees, Fritz Kuhn |
What do you do when two hands are not enough? interactive selection of bonds between pairs of tangible molecules. ![Search on Bibsonomy](Pics/bibsonomy.png) |
3DUI ![In: IEEE Symposium on 3D User Interfaces, 3DUI 2010, Waltham, MA, USA, 20-21 March, 2010, pp. 83-90, 2010, IEEE Computer Society, 978-1-4244-6846-1. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
interactive selection, tangible molecules, chemical structure, 3D spatial extent, AR-based system, optical marker, molecular bonds, gesture-based technique, shake-based technique, proximity-based technique, augmented reality, tangible interaction, dynamic behavior, molecular modeling, 3D structure, chemical reaction |
27 | Norman Wilde, Christopher Casey |
Early field experience with the Software Reconnaissance technique for program comprehension. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSM ![In: 1996 International Conference on Software Maintenance (ICSM '96), 4-8 November 1996, Monterey, CA, USA, Proceedings, pp. 312-318, 1996, IEEE Computer Society, 0-8186-7677-9. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
Software Reconnaissance technique, dynamic analysis technique, code location, industrial maintainers, software feature location, RECON tool, industrial programs, traceability relation, program features, reverse engineering, design patterns, program comprehension, programmers, large systems, program code |
27 | Nabanita Das 0001, Jayasree Dattagupta |
A fault location technique and alternate routing in Benes network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 4th Asian Test Symposium (ATS '95), November 23-24, 1995. Bangalore, India, pp. 71-, 1995, IEEE Computer Society, 0-8186-7129-7. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
fault location technique, single switch fault, recirculation, source-destination path, routing technique, exact locations, multiple switch fault detection, one bit test vectors, equivalent fault set, fault diagnosis, fault tolerant computing, reconfiguration, reconfigurable architectures, multistage interconnection networks, multistage interconnection networks, network routing, Benes network, rearrangeable network, alternate routing |
26 | Mohammed Belatar, François Coldefy |
Sketched menu: a tabletop-menu technique for GUI object creation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EICS ![In: Proceedings of the 2nd ACM SIGCHI Symposium on Engineering Interactive Computing System, EICS 2010, Berlin, Germany, June 19-23, 2010, pp. 77-86, 2010, ACM, 978-1-4503-0083-4. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
gui orientation, interaction technique, cscw, tabletop display, collocated collaboration, menu technique |
26 | J. Salerno |
Using the Particle Swarm Optimization Technique to Train a Recurrent Neural Model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICTAI ![In: 9th International Conference on Tools with Artificial Intelligence, ICTAI '97, Newport Beach, CA, USA, November 3-8, 1997, pp. 45-49, 1997, IEEE Computer Society, 0-8186-8203-5. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
particle swarm optimization technique, recurrent neural model training, evolutionary learning technique, neural model architectures, XOR problem, natural language phrase parsing, multilayered feedforward network, recurrent neural nets |
26 | Manohar Das, Jayraman Anand |
Robust edge detection in noisy images using an adaptive stochastic gradient technique. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIP ![In: Proceedings 1995 International Conference on Image Processing, Washington, DC, USA, October 23-26, 1995, pp. 149-152, 1995, IEEE Computer Society, 0-8186-7310-9. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
adaptive stochastic gradient technique, noise variance, block-by-block adaptation, gradient mask, Rayleigh distribution, threshold calculation, image processing, probability, edge detection, edge detection, stochastic processes, correlation methods, noisy images, autocorrelation function, adaptive estimation, robust technique |
26 | Sunil R. Das, H. T. Ho, Wen-Ben Jone, Amiya R. Nayak |
An improved output compaction technique for built-in self-test in VLSI circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 8th International Conference on VLSI Design (VLSI Design 1995), 4-7 January 1995, New Delhi, India, pp. 403-407, 1995, IEEE Computer Society, 0-8186-6905-5. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
output compaction technique, space compression technique, compaction tree generation, detectable error probability, Boolean difference method, syndrome counter, VLSI, logic testing, probability, built-in self test, built-in self-test, Boolean functions, integrated circuit testing, design for testability, BIST, combinational circuits, combinational circuits, automatic testing, DFT, fault coverage, integrated logic circuits, digital circuits, VLSI circuits, digital integrated circuits |
26 | Seung-Ho Lee, Hyunkyu Lee, Jin H. Kim |
On-line cursive script recognition using an island-driven search technique. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDAR ![In: Third International Conference on Document Analysis and Recognition, ICDAR 1995, August 14 - 15, 1995, Montreal, Canada. Volume II, pp. 886-889, 1995, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
on-line cursive script recognition, island-driven search technique, letter spotting technique, word hypothesis lattice, English cursive words, word recognition test, hidden Markov models, hidden Markov models, optical character recognition, handwriting recognition, search problems |
25 | Guangfan Shi, Guangming Yan, Jigang Li, Guanran Wang, Zeguo Cheng |
The Design and Implement of Virtual Instrument Based on Computing Technique and USB Platform. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICITA (1) ![In: Third International Conference on Information Technology and Applications (ICITA 2005), 4-7 July 2005, Sydney, Australia, pp. 291-294, 2005, IEEE Computer Society, 0-7695-2316-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
learning function and low price, PC, measuring technique, virtual instrument, USB, computing technique |
25 | Raghava V. Cherabuddi, Magdy A. Bayoumi, H. Krishnamurthy |
A low power based system partitioning and binding technique for multi-chip module architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Great Lakes Symposium on VLSI ![In: 7th Great Lakes Symposium on VLSI (GLS-VLSI '97), 13-15 March 1997, Urbana, IL, USA, pp. 156-162, 1997, IEEE Computer Society, 0-8186-7904-2. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
binding technique, multi-chip module architectures, high-level synthesis framework, inter-chip buses, stochastic evolution based technique, multichip modules, switching activity, MCM, functional units, system partitioning, benchmark designs |
24 | Nahmsuk Oh, Edward J. McCluskey |
Procedure Call Duplication: Minimization of Energy Consumption with Constrained Error Detection Latency. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DFT ![In: 16th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2001), 24-26 October 2001, San Francisco, CA, USA, Proceedings, pp. 182-, 2001, IEEE Computer Society, 0-7695-1203-8. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
software error detection, low power technique, low energy technique, procedure duplication and instruction duplication, Fault tolerance |
24 | Evan A. Suma, Samantha L. Finkelstein, Seth Clark, Paula Goolkasian, Larry F. Hodges |
Effects of travel technique and gender on a divided attention task in a virtual environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
3DUI ![In: IEEE Symposium on 3D User Interfaces, 3DUI 2010, Waltham, MA, USA, 20-21 March, 2010, pp. 27-34, 2010, IEEE Computer Society, 978-1-4244-6846-1. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
gender-difficulty interaction, divided attention task, travel technique, auditory stimuli, pointing-directed technique, real walking, gaze-directed travel, torso-directed travel, virtual environment |
23 | Akihiro Takemura, Kenneth R. Hoffmann, Masayuki Suzuki, Zhou Wang, Hussain S. Rangwala, Hajime Harauchi, Stephen Rudin, Tokuo Umeda |
Microcatheter Tip Enhancement in Fluoroscopy: A Comparison of Techniques. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Digit. Imaging ![In: J. Digit. Imaging 20(4), pp. 367-372, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Microcatheter tracking, enhancement technique, subtraction technique, comparison of techniques, fluorography, endovascular intervention, signal-to-noise ratio |
23 | L. Rodney Long, Lewis E. Berman, George R. Thoma |
Client/Server Design for Fast Retrieval of Large Images on the Internet. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CBMS ![In: Eighth Annual IEEE Symposium on Computer-Based Medical Systems (CBMS'95), June 9-10, 1995, Lubbock, Texas, USA, pp. 284-291, 1995, IEEE Computer Society, 0-8186-7117-3. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
client/server design, fast image retrieval, large images, application-level technique, transmission rate improvement, cervical X-ray image files, FTP transmission, high-level design concepts, Internet, Internet, information retrieval, statistics, statistics, medical image processing, portable, PACS, client-server systems, visual databases, performance tests, channel capacity, robust technique |
22 | Tien-Fu Chen |
Efficient trace-sampling simulation techniques for cache performance analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Annual Simulation Symposium ![In: Proceedings 29st Annual Simulation Symposium (SS '96), April 8-11, 1996, New Orleans, LA, USA, pp. 54-, 1996, IEEE Computer Society, 0-8186-7432-6. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
efficient trace sampling simulation techniques, cache performance analysis, large cache simulation, space sampling technique, index of locality, trace references, time sampling approach, inter loop intervals, time sampling technique, representative performance results, loop execution, simulation time, small estimate errors, performance evaluation, virtual machines, digital simulation, performance metric, cache storage, stratified sampling, loop iterations, trace reduction |
22 | Javier Campos, José Manuel Colom, Hauke Jungnitz, Manuel Silva Suárez |
Approximate Throughput Computation of Stochastic Marked Graphs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 20(7), pp. 526-535, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
approximate throughput computation, stochastic marked graphs, stochastic strongly connected marked graphs, net decomposition, single input-single output cut, qualitative behavior, general decomposition technique, iterative response time approximation method, intractable systems, stochastic Petri net models, performance evaluation, Petri nets, error, stochastic processes, state space, iterative technique |
21 | Jingchao Chen |
Building a Hybrid SAT Solver via Conflict-Driven, Look-Ahead and XOR Reasoning Techniques. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAT ![In: Theory and Applications of Satisfiability Testing - SAT 2009, 12th International Conference, SAT 2009, Swansea, UK, June 30 - July 3, 2009. Proceedings, pp. 298-311, 2009, Springer, 978-3-642-02776-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Conflict-driven, XOR reasoning, Hybrid solving technique, search pruning technique, Look-ahead, Boolean satisfiability (SAT) |
21 | Anja Keskinarkaus, Anu Pramila, Tapio Seppänen, Jaakko J. Sauvola |
Wavelet Domain Print-Scan and JPEG Resilient Data Hiding Method. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWDW ![In: Digital Watermarking, 5th International Workshop, IWDW 2006, Jeju Island, Korea, November 8-10, 2006, Proceedings, pp. 82-95, 2006, Springer, 3-540-48825-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
JND profile estimation, periodic reference water-mark, spread spectrum technique, multilevel technique, Watermarking, affine transformation |
21 | Soon-Kyeong Kim, David A. Carrington |
An integrated framework with UML and Object-Z for developing a precise and understandable specification: the light control case study. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APSEC ![In: 7th Asia-Pacific Software Engineering Conference (APSEC 2000), 5-8 December 2000, Singapore, pp. 240-248, 2000, IEEE Computer Society, 0-7695-0915-0. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
lighting control, Object-Z specifications, precise understandable specification, light control system, graphical specification technique, formal specification technique, semantic translation, real-time interactive embedded system, Unified Modeling Language, formal specification, case study, specification languages, systems analysis, object-oriented languages, object-oriented methods, diagrams, requirements elicitation, sequence diagrams, functional model, UML diagrams, integrated framework, use case diagrams, statechart diagrams |
21 | William G. Howerton, Michael G. Hinchey |
Using the Right Tool for the Job. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICECCS ![In: 6th International Conference on Engineering of Complex Computer Systems (ICECCS 2000), 11-15 September 2000, Tokyo, Japan, pp. 105-, 2000, IEEE Computer Society, 0-7695-0583-X. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
integration phases, development technique, informal specification techniques, abstract highly structured models, rigorous analysis, Air Force Weather Agency Standard Degribber, meteorological system, data decoding/encoding, World Meteorological Organization, standard Gridded Binary format, state based issues, complete specification, tool box approach, UML, formal specification, formal methods, semantics, software specification, specification technique, formal notation, modular approach, component specification, complex systems development |
21 | Mauricio J. V. Silva, C. Robert Carlson |
Modeling Active Object-Oriented Database Application Using Multi-Level Diagrams. ![Search on Bibsonomy](Pics/bibsonomy.png) |
COMPSAC ![In: COMPSAC '96 - 20th Computer Software and Applications Conference, August 19-23, 1996, Seoul, Korea, pp. 306-311, 1996, IEEE Computer Society, 0-8186-7579-9. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
active object-oriented database modeling, multilevel diagrams, event driven behavior, time critical reactions, A/OODBMT, Active Object-Oriented Database Modeling Technique, OMT method, nested object model, nested rule model, nested event model, data structures, object-oriented databases, systems analysis, deductive databases, diagrams, behavior model, active databases, object-oriented paradigm, event-condition-action rules, Object Modeling Technique |
21 | Martin Bichler, Stefan Nusser |
Modular design of complex Web-applications with W3DT. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WETICE ![In: 5th Workshop on Enabling Technologies, Infrastructure for Collaborative Enterprises (WET-ICE'96), June 19-21, 1996, Stanford, CA, USA, Proceedings, pp. 328-333, 1996, IEEE Computer Society, 0-8186-7445-8. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
W3DT, complex Web applications, World Wide Web Design Technique, Web-based hypermedia applications, computer based design environment, working prototype, distributed Web sites, locally distributed systems, unified organization-wide model, single-server based Web-sites, large organization-wide systems, hypermedia, collaborative design, modeling technique, modular design, graphical notation, submodels |
21 | Chuan-Yu Wang, Kaushik Roy 0001 |
Maximum power estimation for CMOS circuits using deterministic and statistic approaches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 9th International Conference on VLSI Design (VLSI Design 1996), 3-6 January 1996, Bangalore, India, pp. 364-369, 1996, IEEE Computer Society, 0-8186-7228-5. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
maximum power estimation, deterministic approach, instantaneous power consumption, ATG technique, Monte Carlo based technique, computational complexity, VLSI, lower bound, statistical analysis, automatic testing, circuit analysis computing, Monte Carlo methods, automatic test generation, VLSI circuits, CMOS circuits, CMOS digital integrated circuits, statistic approach |
21 | Mitrajit Chatterjee, Dhiraj K. Pradhan |
A novel pattern generator for near-perfect fault-coverage. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 13th IEEE VLSI Test Symposium (VTS'95), April 30 - May 3, 1995, Princeton, New Jersey, USA, pp. 417-425, 1995, IEEE Computer Society, 0-8186-7000-2. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
near-perfect fault-coverage, onchip BIST, GLFSR, logic mapping technique, weighted pattern technique, logic testing, built-in self test, integrated circuit testing, design methodology, combinational circuits, automatic testing, integrated logic circuits, shift registers, combinational logic, digital integrated circuits, pattern generator, single stuck-at fault |
21 | Moon-Soo Chang, Sun-Mee Kang, Woo-Sik Rho, Heok-Gu Kim, Duck-Jin Kim |
Improved binarization algorithm for document image by histogram and edge detection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDAR ![In: Third International Conference on Document Analysis and Recognition, ICDAR 1995, August 14 - 15, 1995, Montreal, Canada. Volume II, pp. 636-639, 1995, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
improved binarization algorithm, stroke connectivity problems, mid-level-quality binary image scanning system, erroneous recognition, blemished document surface, integrated binarization scheme, adaptive thresholding technique, variable histogram equalization, background noise removal, gray level histogram equalization, enhanced gray level, edge image composition technique, edge detection, character recognition, character recognition, document image, image distortions |
21 | Douglas W. Cornell, Philip S. Yu |
On Optimal Site Assignment for Relations in the Distributed Database Environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 15(8), pp. 1004-1009, 1989. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
relation assignment, joint site determination, query decomposition, average response minimization, optimal site assignment, distributed database environment, joint operations, multiple sites, query descriptions, arrival frequency, relation steps, site assignments, linear integer programming technique, intersystem communication, balancing resource utilizations, information retrieval, linear programming, distributed databases, relations, heuristic programming, resource constraints, heuristic technique |
20 | Elke Beck, Marianna Obrist, Regina Bernhaupt, Manfred Tscheligi |
Instant card technique: how and why to apply in user-centered design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDC ![In: Proceedings of the Tenth Conference on Participatory Design, PDC 2008, Bloomington, Indiana, USA, October 1-4, 2008, pp. 162-165, 2008, ACM, 978-0-9818561-0-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP BibTeX RDF |
card technique, participatory design, scenarios, design method |
20 | Vinh Ninh Dao, Kazuhiro Hosoi, Masanori Sugimoto |
A semi-automatic realtime calibration technique for a handheld projector. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VRST ![In: Proceedings of the ACM Symposium on Virtual Reality Software and Technology, VRST 2007, Newport Beach, California, USA, November 5-7, 2007, pp. 43-46, 2007, ACM, 978-1-59593-863-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
distortion correction, interaction technique, calibration, handheld projector |
20 | Yeh-Ching Chung, Ching-Hsien Hsu, Sheng-Wen Bai |
A Basic-Cycle Calculation Technique for Efficient Dynamic Data Redistribution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 9(4), pp. 359-377, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
the basic-cycle calculation technique, the PITFALLS method, the multiphase method, distributed memory multicomputers, Data redistribution |
20 | Arijit Ganguly, Abhishek Agrawal, P. Oscar Boykin, Renato J. O. Figueiredo |
WOW: Self-Organizing Wide Area Overlay Networks of Virtual Workstations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPDC ![In: Proceedings of the 15th IEEE International Symposium on High Performance Distributed Computing, HPDC-15, Paris, France, June 19-23, 2006, pp. 30-42, 2006, IEEE, 1-4244-0307-3. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
self-organizing wide area overlay network, virtual workstation, WOW distributed system, peer-to-peer technique, user-level decentralized technique, IP packet, PVM-based fastDNAml application, virtual IP layer, virtual machine, local-area network, firewalls, WAN, cluster of workstations, high-throughput computing, client-server application |
20 | Ching-Hsien Hsu, Yeh-Ching Chung, Chyi-Ren Dow |
Efficient Methods for Multi-Dimensional Array Redistribution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 17(1), pp. 23-46, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
the basic-block calculation technique, the complete-dimension calculation technique, distributed memory multicomputers, array redistribution |
20 | Ding-Ming Kwai, Hung-Wen Chang, Hung-Jen Liao, Ching-Hua Chiao, Yung-Fa Chou |
etection of SRAM cell stability by lowering array supply voltage. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 9th Asian Test Symposium (ATS 2000), 4-6 December 2000, Taipei, Taiwan, pp. 268-273, 2000, IEEE Computer Society, 0-7695-0887-1. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
SRAM cell stability detection, array supply voltage reduction, design-for-test technique, static random access memory, memory array, test mode, detection capability, logic testing, integrated circuit testing, design for testability, CMOS technology, SRAM chips, CMOS memory circuits, DFT technique, circuit stability, 0.18 micron |
20 | John P. Kaufhold, Michael K. Schneider, William Clement Karl, Alan S. Willsky |
A Recursive Estimation Approach to the Segmentation of MR Imagery. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIP (2) ![In: Proceedings 1997 International Conference on Image Processing, ICIP '97, Santa Barbara, California, USA, October 26-29, 1997, pp. 506-509, 1997, IEEE Computer Society, 0-8186-8183-7. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
MR imagery segmentation, clinical tool, homogeneous regions, gray matter, cerebro spinal fluid, brain features, estimation-theoretic interpretation, computationally efficient technique, statistically based recursive technique, variational segmentation method, Kalman filter, magnetic resonance imaging, biomedical NMR, recursive estimation, uncertainty measures, research tool, white matter, human brain |
19 | Murray Crease, Jo Lumsden, Bob Longworth |
A technique for incorporating dynamic paths in lab-based mobile evaluations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
BCS HCI (1) ![In: Proceedings of the 21st British HCI Group Annual Conference on HCI 2007: HCI...but not as we know it - Volume 1, BCS HCI 2007, University of Lancaster, United Kingdom, 3-7 September 2007, pp. 99-108, 2007, BCS, 978-1-902505-94-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
lab-based evaluation technique, mobile technology |
19 | Nassima Ouramdane, Samir Otmane, Frédéric Davesne, Malik Mallem |
FOLLOW-ME: a new 3D interaction technique based on virtual guides and granularity of interaction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VRCIA ![In: Proceedings VRCIA 2006 ACM International Conference on Virtual Reality Continuum and its Applications, Chinese University of Hong Kong, Hong Kong, China, June 14-17, 2006, pp. 137-144, 2006, ACM, 1-59593-324-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
granularity of interaction, virtual guide, 3D interaction technique |
19 | Jia Zhou, Kendra M. L. Cooper, I-Ling Yen, Raymond A. Paul |
Rule-Base Technique for Component Adaptation to Support QoS-based Reconfiguration. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISORC ![In: Eighth IEEE International Symposium on Object-Oriented Real-Time Distributed Computing (ISORC 2005), 18-20 May 2005, Seattle, WA, USA, pp. 426-433, 2005, IEEE Computer Society, 0-7695-2356-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
component parameterization technique, QoS tradeoffs, rule base |
19 | Quan Wang, David Maier 0001, Leonard D. Shapiro |
The Hybrid Technique for Reference Materialization in Object Query Processing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IDEAS ![In: 2000 International Database Engineering and Applications Symposium, IDEAS 2000, September 18-20, 2000, Yokohoma, Japan, Proccedings, pp. 37-46, 2000, IEEE Computer Society, 0-7695-0789-1. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
reference materialization, object reference resolution, object query evaluation, pointer-based techniques, value-based techniques, hybrid technique, single-valued attributes, collection-valued attributes, algebraic transformations, rule-based query optimizer, performance, query processing, query optimization, object-oriented databases, object-oriented database, speedup, object-relational databases, OQL, SQL:1999, object-oriented query languages |
19 | Aviral Shrivastava, Jared Pager, Reiley Jeyapaul, Mahdi Hamzeh, Sarma B. K. Vrudhula |
Enabling Multithreading on CGRAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: International Conference on Parallel Processing, ICPP 2011, Taipei, Taiwan, September 13-16, 2011, pp. 255-264, 2011, IEEE Computer Society, 978-1-4577-1336-1. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
CGRA, processor accelerator, dynamic threading, runtime scheduling, page-based mapping, CGRA mapping technique, low power, multithreading, compiler optimization, scheduling technique |
19 | Miranda Miranda Miguel, Takefumi Ogawa, Kiyoshi Kiyokawa, Haruo Takemura |
A PDA-based See-through Interface within an Immersive Environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICAT ![In: Advances in Artificial Reality and Tele-Existence, 17th International Conference on Artificial Reality and Telexistence, ICAT 2007, Esbjerg, Denmark, November 28-30, 2007, Proceedings, pp. 113-118, 2007, IEEE Computer Society, 0-7695-3056-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Interaction in an immersive environment, See-through lens technique, Image Plane Technique, PDA, Immersive environments |
19 | Raimund Dachselt, Markus Weiland |
TimeZoom: a flexible detail and context timeline. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CHI Extended Abstracts ![In: Extended Abstracts Proceedings of the 2006 Conference on Human Factors in Computing Systems, CHI 2006, Montréal, Québec, Canada, April 22-27, 2006, pp. 682-687, 2006, ACM, 978-1-59593-298-3. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
detail and context technique, e-mail client, time navigation, information visualization, interaction technique, fisheye view, calendar, time-dependent data |
19 | Roddy Cowie, Ellen Douglas-Cowie, John G. Taylor, Spiros Ioannou, Manolis Wallace, Stefanos D. Kollias |
An intelligent system for facial emotion recognition. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICME ![In: Proceedings of the 2005 IEEE International Conference on Multimedia and Expo, ICME 2005, July 6-9, 2005, Amsterdam, The Netherlands, pp. 904-907, 2005, IEEE Computer Society, 0-7803-9331-7. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
classification technique, facial emotion recognition, psychological finding, 2D emotion space representation, intelligent system, clustering technique, fuzzy rule based system |
19 | Pierfrancesco Fusaro, Filippo Lanubile, Giuseppe Visaggio |
A Replicated Experiment to Assess Requirements Inspection Techniques. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Empir. Softw. Eng. ![In: Empir. Softw. Eng. 2(1), pp. 39-57, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
replicated experiments, technique and process evaluation, inspection, reading technique |
19 | Ivan Osorio, Mark G. Frei, David Lerner, Steven Wilkinson |
Automated Rapid Seizure Detection in the Human ECoG. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CBMS ![In: Eighth Annual IEEE Symposium on Computer-Based Medical Systems (CBMS'95), June 9-10, 1995, Lubbock, Texas, USA, pp. 328-329, 1995, IEEE Computer Society, 0-8186-7117-3. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
automated rapid seizure detection, human electrocortiography, EEG/ECoG signals, linear filtering technique, nonlinear filtering technique, intracranial signals, scalp recordings, expert visual analysis, polygraph tracings, electrographic seizure, signal changes, 486/DX PC, 33 MHz, real-time systems, wavelet transforms, discrete wavelet transform, filtering theory, electroencephalography, microcomputer applications, real-time monitoring, patient monitoring, medical signal processing |
19 | Ramana Rao, Stuart K. Card |
The table lens: merging graphical and symbolic representations in an interactive focus + context visualization for tabular information. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CHI ![In: Conference on Human Factors in Computing Systems, CHI 1994, Boston, Massachusetts, USA, April 24-28, 1994, Proceedings, pp. 318-322, 1994, ACM, 0-89791-650-6. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
fisheye technique, information visualization, spreadsheets, tables, exploratory data analysis, graphical representations, focus+context technique, relational tables |
18 | Reuven Bar-Yehuda, Keren Bendel, Ari Freund 0001, Dror Rawitz |
Local ratio: A unified framework for approxmation algrithms in memoriam: Shimon Even 1935-2004. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Comput. Surv. ![In: ACM Comput. Surv. 36(4), pp. 422-463, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
fractional local ratio, local ratio technique, Approximation algorithms |
18 | Alexey A. Roenko, Vladimir V. Lukin, Igor Djurovic, Xu Zhengguang |
A novel clipping technique for filtering FM signals embedded in intensive noise. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Signal Image Video Process. ![In: Signal Image Video Process. 3(2), pp. 157-170, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Clipping technique, FM signal amplitude estimation, Non-Gaussian noise environment, Probability density function |
18 | Nordiana Sairuni, Dayang Rohaya Awang Rambli, Nordin Zakaria |
Design Framework for Sketch Based Technique in 3D Navigation of Cluttered Virtual Environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IVIC ![In: Visual Informatics: Bridging Research and Practice, First International Visual Informatics Conference, IVIC 2009, Kuala Lumpur, Malaysia, November 11-13, 2009, Proceedings, pp. 415-426, 2009, Springer, 978-3-642-05035-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Sketching technique, Navigation, Virtual environment |
18 | Wimol San-Um, Masayoshi Tachibana |
Simultaneous impulse stimulation and response sampling technique for built-in self test of linear analog integrated circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBCCI ![In: Proceedings of the 22st Annual Symposium on Integrated Circuits and Systems Design: Chip on the Dunes, SBCCI 2009, Natal, Brazil, August 31 - September 3, 2009, 2009, ACM, 978-1-60558-705-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
impulse stimulation, linear analog integrated circuits, response sampling technique, built-in self test |
18 | Magdy A. El-Tawil |
The Homotopy Wiener-Hermite Expansion and Perturbation Technique (WHEP). ![Search on Bibsonomy](Pics/bibsonomy.png) |
Trans. Comput. Sci. ![In: Transactions on Computational Science I, pp. 159-180, 2008, Springer, 978-3-540-79298-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Non-linear stochastic differential equations, Wiener-Hermite expansion, WHEP technique, Homotopy perturbation |
18 | Diana Berbecaru, Luca Albertalli |
An Optimized Double Cache Technique for Efficient Use of Forward-secure Signature Schemes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDP ![In: 16th Euromicro International Conference on Parallel, Distributed and Network-Based Processing (PDP 2008), 13-15 February 2008, Toulouse, France, pp. 581-589, 2008, IEEE Computer Society, 978-0-7695-3089-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
generic FSS schemes, double cache technique, backward secrecy |
18 | P. Malathi, P. T. Vanathi |
Improved Interleaving Technique for PAPR Reduction in OFDM-MIMO System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asia International Conference on Modelling and Simulation ![In: Second Asia International Conference on Modelling and Simulation, AMS 2008, Kuala Lumpur, Malaysia, May 13-15, 2008, pp. 253-258, 2008, IEEE Computer Society, 978-0-7695-3136-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
BER performance, VBLAST algorithm, Interleaving Technique, OFDM, MIMO, PAPR |
18 | Christian Schulze 0001, Marco Schreyer, Armin Stahl, Thomas M. Breuel |
Evaluation of Graylevel-Features for Printing Technique Classification in High-Throughput Document Management Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWCF ![In: Computational Forensics, Second International Workshop, IWCF 2008, Washington, DC, USA, August 7-8, 2008, Proceedings, pp. 35-46, 2008, Springer, 978-3-540-85302-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
feature evaluation, printing technique classification, counterfight detection, questioned document, document forensic, document management |
18 | Dong-Ho Lee, Hyoung-Joo Kim |
An Efficient Technique for Nearest-Neighbor Query Processing on the SPY-TEC. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Knowl. Data Eng. ![In: IEEE Trans. Knowl. Data Eng. 15(6), pp. 1472-1486, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
high-dimensional index technique, incremental nearest-neighbor algorithm, SPY-TEC, Similarity search, nearest-neighbor query |
18 | Yon-Jung Jang, Eun-Young Kim, Kyung-Whan Lee |
Object-Oriented Component Identification Method Using the Affinity Analysis Technique. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OOIS ![In: Object-Oriented Information Systems, 9th International Conference, OOIS 2003, Geneva, Switzerland, September 2-5, 2003, Proceedings, pp. 317-321, 2003, Springer, 3-540-40860-6. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
Component Identification Method, Class and Use Case Affinity Analysis Technique, Component-based Development, CBD |
18 | Minyoung Kim, Soonhoi Ha |
Hybrid Run-time Power Management Technique for Real-time Embedded System with Voltage Scalable Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCTES/OM ![In: Proceedings of The Workshop on Languages, Compilers, and Tools for Embedded Systems (LCTES 2001), June 22-23, 2001 / The Workshop on Optimization of Middleware and Distributed Systems (OM 2001), June 18, 2001, Snowbird, Utah, USA, pp. 11-19, 2001, ACM, 1-58113-425-8. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
DPM(Dynamic Power Management), DVS(Dynamic Voltage Scaling), run-timepower management technique, real-time embedded system |
18 | A. Yeung, Soung C. Liew |
Multiplexing Video Traffic Using Frame-skipping Aggregation Technique. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIP (1) ![In: Proceedings 1997 International Conference on Image Processing, ICIP '97, Santa Barbara, California, USA, October 26-29, 1997, pp. 334-337, 1997, IEEE Computer Society, 0-8186-8183-7. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
frame-skipping aggregation technique, compression standard, video distribution systems, CBR communications channel, VBR MPEG video streams, B frames, image quality degradation, call admission strategy, video requests, bi-directionally predicted frames, multimedia, multiplexing, video quality, telecommunication congestion control, data rates, video traffic, traffic congestion |
18 | Namyun Kim |
A scheduling technique for real-time systems with end-to-end timing constraints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTCSA ![In: Third International Workshop on Real-Time Computing Systems Application (RTCSA '96), October 30 - November 01, 1996, Seoul, Korea, pp. 301-306, 1996, IEEE Computer Society, 0-8186-7626-4. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
end-to-end timing constraints, shared tasks, overload situations, real-time systems, real-time systems, scheduling technique |
18 | Alberto Broggi |
Word parallelism vs spatial parallelism: a performance optimization technique on the PAPRICA system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDP ![In: 3rd Euromicro Workshop on Parallel and Distributed Processing (PDP '95), January 25-27, 1995, San Remo, Italy, pp. 236-243, 1995, IEEE Computer Society, 0-8186-7031-2. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
word parallelism, spatial parallelism, performance optimization technique, PAPRICA system, hardware efficiency, SIMD systems, data bus efficiency, packed data sets, processing array, optimizing assembly-to-assembly translator, automatic conversion, binary data sets, thinning filter, performance evaluation, parallel architectures, optimisation, parallel machines, hardware design, data storage, program interpreters, external memory, dynamic mapping, assembly language |
18 | Surya B. Yadav |
Control and Definition Modularization: An Improved Software Design Technique for Organizing Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 16(1), pp. 92-99, 1990. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
definition modularization, software design technique, organizing programs, control and definition modularization, systematic program layout, conceptual data object, execution flow, programs maintainability, structured design methodology, software engineering |
17 | Xuan-Hong Dang, James Bailey 0001 |
A hierarchical information theoretic technique for the discovery of non linear alternative clusterings. ![Search on Bibsonomy](Pics/bibsonomy.png) |
KDD ![In: Proceedings of the 16th ACM SIGKDD International Conference on Knowledge Discovery and Data Mining, Washington, DC, USA, July 25-28, 2010, pp. 573-582, 2010, ACM, 978-1-4503-0055-1. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
alternative clustering, parzenwindow technique, information theoretic learning |
17 | Michiya Yamamoto, Takashi Nagamatsu, Tomio Watanabe |
Development of eye-tracking pen display based on stereo bright pupil technique. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ETRA ![In: Proceedings of the 2010 Symposium on Eye-Tracking Research & Applications, ETRA 2010, Austin, Texas, USA, March 22-24, 2010, pp. 165-168, 2010, ACM, 978-1-60558-994-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
bright pupil technique, pen display, eye-tracking, embodied interaction |
17 | M. Haykel Ben Jamaa, Gianfranco Cerofolini, Yusuf Leblebici, Giovanni De Micheli |
Complete nanowire crossbar framework optimized for the multi-spacer patterning technique. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: Proceedings of the 2009 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, CASES 2009, Grenoble, France, October 11-16, 2009, pp. 11-16, 2009, ACM. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
MSPT, spacer technique, decoder, emerging technologies, gray code, crossbars, nanowires |
17 | Yunliang Chen, Jianzhong Huang 0001, Changsheng Xie, Yunfu Fang |
Storage-Based Intrusion Detection Using Artificial Immune Technique. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISICA ![In: Advances in Computation and Intelligence, 4th International Symposium, ISICA 2009, Huangshi, China, Ocotober 23-25, 2009, Proceedings, pp. 476-486, 2009, Springer, 978-3-642-04842-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
artificial immune technique, intrusion detection, network security |
17 | Wenli Dong |
Test Case Reduction Technique for BPEL-based Testing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISECS ![In: Proceedings of The International Symposium on Electronic Commerce and Security, ISECS 2008, August 3-5, 2008, Guangzhou, China, pp. 814-817, 2008, IEEE Computer Society, 978-0-7695-3258-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Business Process Execution Language for Web Services, Test Suite, Reduction Technique |
17 | Hakim Bendjenna, Nacereddine Zarour, Pierre-Jean Charrel |
Enhancing Elicitation Technique Selection Process in a Cooperative Distributed Environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
REFSQ ![In: Requirements Engineering: Foundation for Software Quality, 14th International Working Conference, REFSQ 2008, Montpellier, France, June 16-17, 2008, Proceedings, pp. 23-36, 2008, Springer, 978-3-540-69060-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
elicitation technique selection, requirements engineering, requirements elicitation, distributed environment |
17 | Hyunjung Kim, Seoktae Kim, Boram Lee, Jinhee Pak, Minjung Sohn, Geehyuk Lee, Woohun Lee |
Digital rubbing: playful and intuitive interaction technique for transferring a graphic image onto paper with pen-based computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CHI Extended Abstracts ![In: Extended Abstracts Proceedings of the 2008 Conference on Human Factors in Computing Systems, CHI 2008, Florence, Italy, April 5-10, 2008, pp. 2337-2342, 2008, ACM, 978-1-60558-012-8. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
digital rubbing, interaction technique, pen-based computing |
17 | Simon Fothergill, Robert Harle, Sean B. Holden |
Modeling the Model Athlete: Automatic Coaching of Rowing Technique. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SSPR/SPR ![In: Structural, Syntactic, and Statistical Pattern Recognition, Joint IAPR International Workshop, SSPR & SPR 2008, Orlando, USA, December 4-6, 2008. Proceedings, pp. 372-381, 2008, Springer, 978-3-540-89688-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Novel Applications, Sports coaching, Rowing Technique, Body motion, Intelligent Sensing Systems, Spatiotemporal Pattern Recognition, Quality, Shape Analysis |
17 | Chun-Yi Lee, Hung-Mao Lin, Fang-Min Wang, James Chien-Mo Li |
Cyclic-CPRS : A Diagnosis Technique for BISTed Circuits for Nano-meter Technologies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 12th Conference on Asia South Pacific Design Automation, ASP-DAC 2007, Yokohama, Japan, January 23-26, 2007, pp. 835-840, 2007, IEEE Computer Society, 1-4244-0629-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
systematic defects, cyclic-column parity row selection technique, built-in self tested circuits, cyclic scan chains, masking circuitry, transient errors, circuit under test, nanometer technologies |
17 | Joung-Joon Kim, Hong-Koo Kang, Dong-Suk Hong, Ki-Joon Han |
An Efficient Compression Technique for a Multi-dimensional Index in Main Memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VISUAL ![In: Advances in Visual Information Systems, 9th International Conference, VISUAL 2007, Shanghai, China, June 28-29, 2007 Revised Selected Papers, pp. 333-343, 2007, Springer, 978-3-540-76413-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
MBR Compression Technique, Spatial Main Memory DBMS, Cache Access, R-tree, Multi-Dimensional Index |
17 | Taskin Koçak, Matthew Draper |
A Back-propagation Neural Network Landmine Detector Using the Delta-technique and S-statistic. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Neural Process. Lett. ![In: Neural Process. Lett. 23(1), pp. 47-54, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
false alarm filtering, mine detection, S-statistic, ?-technique, back-propagation neural networks |
17 | Masanori Sugimoto, Keiichi Hiroki |
HybridTouch: an intuitive manipulation technique for PDAs using their front and rear surfaces. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Mobile HCI ![In: Proceedings of the 8th Conference on Human-Computer Interaction with Mobile Devices and Services, Mobile HCI 2006, Helsinki, Finland, September 12-15, 2006, pp. 137-140, 2006, ACM, 1-59593-390-5. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
manipulation technique, PDA, pen-based interface, two-handed interface |
17 | Xiufeng Zhang, Yicheng Jin, Yong Yin, Zhihua Li |
Ship simulation using virtual reality technique. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VRCAI ![In: Proceedings VRCAI 2004, ACM SIGGRAPH International Conference on Virtual Reality Continuum and its Applications in Industry, Nanyang Technological University, Singapore, June 16-18, 2004, pp. 282-285, 2004, ACM, 1-58113-884-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
IMO ship maneuverability resolution, ship manoeuvring simulator, ship simulation, virtual reality technique |
17 | Chuang Zhang, Dongsheng Ma, Ashok Kumar Srivastava |
Integrated adaptive DC/DC conversion with adaptive pulse-train technique for low-ripple fast-response regulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2004 International Symposium on Low Power Electronics and Design, 2004, Newport Beach, California, USA, August 9-11, 2004, pp. 257-262, 2004, ACM. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
DC/DC conversion, adaptive output, adaptive pulse-train technique, low ripple, transient response |
17 | Hongbing Fan, Jiping Liu, Yu-Liang Wu |
General Models and a Reduction Design Technique for FPGA Switch Box Designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 52(1), pp. 21-30, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
hyper-universal, FPGA, global routing, detailed routing, reduction technique, optimum design, switch box |
17 | Jau-Ji Shen, Ming-Tsung Chen |
A Recycle Technique of Association Rule for Missing Value Completion. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AINA ![In: 17th International Conference on Advanced Information Networking and Applications (AINA'03), March 27-29, 2003, Xi'an, China, pp. 526-529, 2003, IEEE Computer Society, 0-7695-1906-7. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
recycle technique, association rule, Distributed database, internetworking, missing value |
17 | Juha Lehikoinen, Ilkka Salminen |
An Empirical and Theoretical Evaluation of BinScroll: A Rapid Selection Technique for Alphanumeric Lists. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Pers. Ubiquitous Comput. ![In: Pers. Ubiquitous Comput. 6(2), pp. 141-150, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
Alphanumeric list, Wearable computing, Binary search, Selection technique |
17 | Ming-Dou Ker, Chien-Hui Chuang, Kuo-Chun Hsu, Wen-Yu Lo |
ESD Protection Design for Mixed-Voltage I/O Circuit with Substrate-Triggered Technique in Sub-Quarter-Micron CMOS Process. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 3rd International Symposium on Quality of Electronic Design, ISQED 2002, San Jose, CA, USA, March 18-21, 2002, pp. 331-336, 2002, IEEE Computer Society, 0-7695-1561-4. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
ESD, ESD protection circuit, substrate-triggered technique |
17 | Valentin Muresan, Xiaojun Wang 0001, Valentina Muresan, Mircea Vladutiu |
Distribution-graph based approach and extended tree growing technique in power-constrained block-test scheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 9th Asian Test Symposium (ATS 2000), 4-6 December 2000, Taipei, Taiwan, pp. 465-470, 2000, IEEE Computer Society, 0-7695-0887-1. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
distribution-graph based approach, extended tree growing technique, power-constrained block-test scheduling, unequal-length block-test scheduling, power dissipation constraints, test concurrency, assigned power dissipation limits, balanced test power dissipation, least mean square error function, global priority function, system-level test scheduling algorithm, scheduling, VLSI, fault diagnosis, logic testing, high level synthesis, integrated circuit testing, automatic test pattern generation, trees (mathematics), least mean squares methods |
17 | Chang Geun Song, No Jun Kwak, Dong Hyun Jeong |
Developing an efficient technique of selection and manipulation in immersive V.E.. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VRST ![In: Proceedings of the ACM Symposium on Virtual Reality Software and Technology, VRST 2000, Seoul, South Korea, October 22-25, 2000., pp. 142-146, 2000, ACM, 1-58113-316-2. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
Finger-Gesture, Go-Go, Virtual Reality, Ray-casting, 3D interaction technique |
17 | Dong-Jye Shyy, Bijan Rohani |
Indoor Location Technique for 2G and 3G Cellular/PCS Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCN ![In: Proceedings 27th Conference on Local Computer Networks, Tampa, Florida, USA, 8-10 November, 2000, pp. 264-271, 2000, IEEE Computer Society, 0-7695-0912-6. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
picocellular radio, indoor radio, indoor location technique, cellular radio networks, multiple picocells, 2G mobile radio, 3G mobile radio, personal communication networks, PCS networks |
17 | Raouf Benjemaa, Francis Schmitt |
Fast Global Registration of 3D Sampled Surfaces using a Multi-Z-Buffer Technique. ![Search on Bibsonomy](Pics/bibsonomy.png) |
3DIM ![In: International Conference on Recent Advances in 3-D Digital Imaging and Modeling (3DIM '97), May 12-15, 1997, Ottawa, Ontario, Canada, pp. 113-120, 1997, IEEE Computer Society, 0-8186-7943-3. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
fast global registration, 3D sampled surfaces, multi-z-buffer technique, overlapping 3D surfaces, 3D space partitioning, point-to-point correspondence, randomized iterative registration, highly curved objects, test, segmentation, search, image registration, image sampling, nearest neighbours, ICP algorithm, iterative closest point algorithm |
17 | Christos A. Papachristou, Mikhail Baklashov |
A test synthesis technique using redundant register transfers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: Proceedings of the 1997 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1997, San Jose, CA, USA, November 9-13, 1997, pp. 414-420, 1997, IEEE Computer Society / ACM, 0-8186-8200-0. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
behavioral variables, conditional statements, redundant register transfers, structural signals, test synthesis technique, testability metrics, graph theory, logic testing, controllability, high level synthesis, VHDL, observability, fault coverage, data path, hardware overhead, behavioral descriptions |
17 | Przemyslaw Pochec |
Moire based stereo matching technique. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIP ![In: Proceedings 1995 International Conference on Image Processing, Washington, DC, USA, October 23-26, 1995, pp. 370-373, 1995, IEEE Computer Society, 0-8186-7310-9. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
moire fringes, Moire based stereo matching technique, Brouwer's theorem, concentric Moire rings, image segmentation, image matching, interference, orientation, stereo vision, stereo image processing, video signal processing, disparity, video stabilization |
17 | Dhruva R. Chakrabarti, Ajai Jain |
An improved hierarchical test generation technique for combinational circuits with repetitive sub-circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 4th Asian Test Symposium (ATS '95), November 23-24, 1995. Bangalore, India, pp. 237-243, 1995, IEEE Computer Society, 0-8186-7129-7. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
hierarchical test generation technique, repetitive subcircuits, hierarchical testing algorithm, bus fault model, high-level subcircuits, high level incompatibility, test generation time, complete fault coverage, computational complexity, fault diagnosis, logic testing, high level synthesis, design for testability, design for testability, ATPG, combinational circuits, combinational circuits, logic CAD, automatic test software, signal flow graphs, state transition graph |
17 | Seong Yong Ohm, Fadi J. Kurdahi, Nikil D. Dutt, Min Xu |
A comprehensive estimation technique for high-level synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSS ![In: Proceedings of the 8th International Symposium on System Synthesis (ISSS 1995), September 13-15, 1995, Cannes, France, pp. 122-127, 1995, ACM, 0-89791-771-5. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
HLS benchmarks, RT level components, RTL datapaths, estimation technique, delays, high level synthesis, high-level synthesis, timing, design space exploration, granularity, hardware description languages, data flow graphs, registers, system buses, timing model, buses, behavioral description, layout area |
17 | Srinivas Katkoori, Nand Kumar, Ranga Vemuri |
High level profiling based low power synthesis technique. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 1995 International Conference on Computer Design (ICCD '95), VLSI in Computers and Processors, October 2-4, 1995, Austin, TX, USA, Proceedings, pp. 446-453, 1995, IEEE Computer Society, 0-8186-7165-3. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
high level profiling based low power synthesis technique, average switching capacitance, user-specified set, switching capacitance, VLSI, delay, logic design, power estimation, area |
17 | Ping Yu 0010, Anastasios N. Venetsanopoulos |
Partial pattern recognition and classification using the scatter degree technique and neural networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Intell. Robotic Syst. ![In: J. Intell. Robotic Syst. 5(3), pp. 271-282, 1992. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
Scatter degree technique, neural networks, object recognition, local features, object classification |
17 | Nasser M. Nasrabadi |
A Stereo Vision Technique Using Curve-Segments and Relaxation Matching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Pattern Anal. Mach. Intell. ![In: IEEE Trans. Pattern Anal. Mach. Intell. 14(5), pp. 566-572, 1992. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
curve segment extraction, searching space limitation, relaxation matching, multichannel feature-based stereo vision technique, feature primitives, Laplacian-of-Gaussian operators, node assignments, pattern recognition, picture processing, centroids, epipolar constraint, generalized Hough transform, curve-segments |
16 | Min Lee, Seong Keun Oh |
A Per-User Successive MMSE Precoding Technique in Multiuser MIMO Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTC Spring ![In: Proceedings of the 65th IEEE Vehicular Technology Conference, VTC Spring 2007, 22-25 April 2007, Dublin, Ireland, pp. 2374-2378, 2007, IEEE. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
16 | Neelam Gupta, Aditya P. Mathur, Mary Lou Soffa |
UNA Based Iterative Test Data Generation and Its Evaluation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASE ![In: The 14th IEEE International Conference on Automated Software Engineering, ASE 1999, Cocoa Beach, Florida, USA, 12-15 October 1999, pp. 224-, 1999, IEEE Computer Society, 0-7695-0415-9. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
iterative relaxation technique, testing tools, scientific programs, Path testing |
16 | Mei-Hwa Chen, Michael R. Lyu, W. Eric Wong |
An empirical study of the correlation between code coverage and reliability estimation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE METRICS ![In: 3rd IEEE International Software Metrics Symposium (METRICS 1996), From Measurement to Empirical Results, March 25-26, 1996, Berlin, Germany, pp. 133-141, 1996, IEEE Computer Society, 0-8186-7364-8. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
aircraft control, software reliability estimation, time-domain models, software testing technique, coverage measures, time measures, software failure prediction, software execution time, industrial automatic flight control, software reliability, program testing, digital simulation, software performance evaluation, simulation environment, code coverage, software reliability growth |
15 | Andreas Holzinger, Christian Stickel, Markus Fassold, Martin Ebner |
Seeing the System through the End Users' Eyes: Shadow Expert Technique for Evaluating the Consistency of a Learning Management System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
USAB ![In: HCI and Usability for e-Inclusion, 5th Symposium of the Workgroup Human-Computer Interaction and Usability Engineering of the Austrian Computer Society, USAB 2009, Linz, Austria, November 9-10, 2009 Proceedings, pp. 178-192, 2009, Springer, 978-3-642-10307-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Shadow Expert Technique, Performance, Measurement, Consistency, Usability Test, Methods |
15 | Akshatha Pandith, Mark R. Lehto, Vincent G. Duffy |
The Impact of Change in Software on Satisfaction: Evaluation Using Critical Incident Technique (CIT). ![Search on Bibsonomy](Pics/bibsonomy.png) |
HCI (11) ![In: Digital Human Modeling, Second International Conference, ICDHM 2009, Held as Part of HCI International 2009, San Diego, CA, USA, July 19-24, 2009. Proceedings, pp. 717-726, 2009, Springer, 978-3-642-02808-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Critical Incident Technique, Change in software, Impact of change, Automation surprise, Information overload |
Displaying result #1 - #100 of 84777 (100 per page; Change: ) Pages: [ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ >>] |
|