The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase variation-tolerant (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-2006 (26) 2007 (18) 2008 (21) 2009 (25) 2010 (16) 2011 (30) 2012 (19) 2013 (15) 2014 (17) 2015-2016 (27) 2017-2018 (24) 2019-2021 (23) 2022-2024 (19)
Publication types (Num. hits)
article(106) book(1) incollection(12) inproceedings(161)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 82 occurrences of 64 keywords

Results
Found 280 publication records. Showing 280 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
85Georgios Karakonstantis, Kaushik Roy 0001 Low-Power and Variation-Tolerant Application-Specific System Design. Search on Bibsonomy Low-Power Variation-Tolerant Design in Nanometer Silicon The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
85Hamid Mahmoodi Low-Power and Variation-Tolerant Memory Design. Search on Bibsonomy Low-Power Variation-Tolerant Design in Nanometer Silicon The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
85Meeta Sharma Gupta, Pradip Bose Variation-Tolerant Microprocessor Architecture at Low Power. Search on Bibsonomy Low-Power Variation-Tolerant Design in Nanometer Silicon The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
71Sachin S. Sapatnekar Statistical Design of Integrated Circuits. Search on Bibsonomy Low-Power Variation-Tolerant Design in Nanometer Silicon The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
71Shreyas Sen, Vishwanath Natarajan, Abhijit Chatterjee Low-Power Adaptive Mixed Signal/RF Circuits and Systems and Self-Healing Solutions. Search on Bibsonomy Low-Power Variation-Tolerant Design in Nanometer Silicon The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
71Swaroop Ghosh Effect of Variations and Variation Tolerance in Logic Circuits. Search on Bibsonomy Low-Power Variation-Tolerant Design in Nanometer Silicon The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
71Benjamin Gojman, Nikil Mehta, Raphael Rubin, André DeHon Component-Specific Mapping for Low-Power Operation in the Presence of Variation and Aging. Search on Bibsonomy Low-Power Variation-Tolerant Design in Nanometer Silicon The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
71Wei Zhang 0012, James Williamson, Li Shang Power Dissipation. Search on Bibsonomy Low-Power Variation-Tolerant Design in Nanometer Silicon The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
71Aditya Bansal, Rahul M. Rao Variations: Sources and Characterization. Search on Bibsonomy Low-Power Variation-Tolerant Design in Nanometer Silicon The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
71Nikil Mehta, André DeHon Low-Power Techniques for FPGAs. Search on Bibsonomy Low-Power Variation-Tolerant Design in Nanometer Silicon The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
71Bipul C. Paul, Arijit Raychowdhury Digital Subthreshold for Ultra-Low Power Operation: Prospects and Challenges. Search on Bibsonomy Low-Power Variation-Tolerant Design in Nanometer Silicon The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
71Nikil Mehta, André DeHon Variation and Aging Tolerance in FPGAs. Search on Bibsonomy Low-Power Variation-Tolerant Design in Nanometer Silicon The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
52David Wolpert 0001, Paul Ampadu Normal and Reverse Temperature Dependence in Variation-Tolerant Nanoscale Systems with High-k Dielectrics and Metal Gates. Search on Bibsonomy NanoNet The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Reverse temperature dependence, high-k dielectric, variation-tolerant, metal gate
39Jason Cong, Albert Liu, Bin Liu 0006 A variation-tolerant scheduler for better than worst-case behavioral synthesis. Search on Bibsonomy CODES+ISSS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF scheduling, variation, behavioral synthesis
38Mohammed Abid Hussain, Madhu Mutyam Block remap with turnoff: A variation-tolerant cache design technique. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
35Shreyas Sen, Abhijit Chatterjee Design of process variation tolerant radio frequency low noise amplifier. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
35Marshnil Vipin Dave, Maryam Shojaei Baghini, Dinesh Kumar Sharma A process variation tolerant, high-speed and low-power current mode signaling scheme for on-chip interconnects. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF current mode singnaling, dynamic overdriving, process variation tolerant
34Aarti Choudhary, Sandip Kundu A process variation tolerant self-compensating FinFET based sense amplifier design. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF sense amplifier, robustness, process -variation, yield, sram, finfet
31Qikai Chen, Saibal Mukhopadhyay, Hamid Mahmoodi, Kaushik Roy 0001 Process Variation Tolerant Online Current Monitor for Robust Systems. Search on Bibsonomy IOLTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Dhruva Ghai, Saraju P. Mohanty, Elias Kougianos Parasitic Aware Process Variation Tolerant Voltage Controlled Oscillator (VCO) Design. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Xiaoyao Liang, Ramon Canal, Gu-Yeon Wei, David M. Brooks Process Variation Tolerant 3T1D-Based Cache Architectures. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Osman S. Unsal, James W. Tschanz, Keith A. Bowman, Vivek De, Xavier Vera, Antonio González 0001, Oguz Ergin Impact of Parameter Variations on Circuits and Microarchitecture. Search on Bibsonomy IEEE Micro The full citation details ... 2006 DBLP  DOI  BibTeX  RDF variation-tolerant design, impact of VLSI on system design, processor architectures, performance and reliability
28Pei-Hsin Ho Industrial clock design. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF low power, variability, physical design, clock tree synthesis
28Shubhankar Basu, Priyanka Thakore, Ranga Vemuri Process Variation Tolerant Standard Cell Library Development Using Reduced Dimension Statistical Modeling and Optimization Techniques. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Simone Medardoni, Marcello Lajolo, Davide Bertozzi Variation tolerant NoC design by means of self-calibrating links. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Girish Varatkar, Sriram Narayanan, Naresh R. Shanbhag, Douglas L. Jones Variation-tolerant, low-power PN-code acquisition using stochastic sensor NOC. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Dhruva Ghai, Saraju P. Mohanty, Elias Kougianos A process and supply variation tolerant nano-CMOS low voltage, high speed, a/d converter for system-on-chip. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF flash adc, nano-cmos, ti comparator, process variation, analog-to-digital converter, low voltage, high speed
27David Wolpert 0001, Paul Ampadu A Low-Power Safety Mode for Variation Tolerant Systems-on-Chip. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Xin Fu, Tao Li, José A. B. Fortes Soft error vulnerability aware process variation mitigation. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
23Spandana Remarsu, Sandip Kundu On process variation tolerant low cost thermal sensor design in 32nm CMOS technology. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF self compensating comparator, dithering, thermal sensor
23Swaroop Ghosh, Swarup Bhunia, Kaushik Roy 0001 CRISTA: A New Paradigm for Low-Power, Variation-Tolerant, and Adaptive Circuit Synthesis Using Critical Path Isolation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Debabrata Mohapatra, Georgios Karakonstantis, Kaushik Roy 0001 Low-power process-variation tolerant arithmetic units using input-based elastic clocking. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF elastic clocking, process tolerant, low power
23Anand Rajaram, David Z. Pan Variation tolerant buffered clock network synthesis with cross links. Search on Bibsonomy ISPD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF non-tree clocks, physical design, VLSI CAD, clock network
23James W. Tschanz, Keith A. Bowman, Vivek De Variation-tolerant circuits: circuit solutions and techniques. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF parameter variation, body bias, high-performance design
22Jin-O. Seo, Mingoo Seok, SeongHwan Cho A 44.2-TOPS/W CNN Processor With Variation-Tolerant Analog Datapath and Variation Compensating Circuit. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
22Samane Firouzi, Sepehr Tabrizchi, Fazel Sharifi, Abdel-Hameed A. Badawy High performance, variation-tolerant CNFET ternary full adder a process, voltage, and temperature variation-resilient design. Search on Bibsonomy Comput. Electr. Eng. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
22Abhishek Mishra, Kamal Kishor Jha, Manisha Pattanaik Parameter variation aware hybrid TFET-CMOS based power gating technique with a temperature variation tolerant sleep mode. Search on Bibsonomy Microelectron. J. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
21Keith A. Bowman, James W. Tschanz, Chris Wilkerson, Shih-Lien Lu, Tanay Karnik, Vivek De, Shekhar Y. Borkar Circuit techniques for dynamic variation tolerance. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dynamic variations, error-detection sequential, replica paths, resilient circuits, variation sensors, variation-tolerant circuits, error detection, error correction, error recovery, parameter variations, timing errors
21Keith A. Bowman, James W. Tschanz, Muhammad M. Khellah, Maged Ghoneima, Yehea I. Ismail, Vivek De Time-borrowing multi-cycle on-chip interconnects for delay variation tolerance. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF intra-die variations, multi-cycle interconnect, parameter fluctuations, time borrowing, interconnect, parameter variations, within-die variations, variation tolerant
16Nilanjan Banerjee, Saumya Chandra, Swaroop Ghosh, Sujit Dey, Anand Raghunathan, Kaushik Roy 0001 Coping with Variations through System-Level Design. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Wookjin Shin, Hyeongmin Seo, Sangwan Lee, Dong-Ho Choi, Young-Ho Kwak, Soon-Jae Won, Jaeduk Han A Variation-Tolerant Voltage-Mode Transmitter With 3+1 Tap FFE in 28-nm CMOS. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
15Shohei Imai, Hideyuki Sato, Kenji Mukai, Hiroshi Okabe 32.3 A Load-Variation-Tolerant Doherty Power Amplifier with Dual-Adaptive-Bias Scheme for 5G Handsets. Search on Bibsonomy ISSCC The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
15Jiahao Song, Zihan Wu, Xiyuan Tang, Bocheng Xu, Haoyang Luo, Youming Yang 0002, Yuan Wang 0001, Runsheng Wang, Ru Huang 30.5 A Variation-Tolerant In-eDRAM Continuous-Time Ising Machine Featuring 15-Level Coefficients and Leaked Negative-Feedback Annealing. Search on Bibsonomy ISSCC The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
15Mao Li, Zhaoqing Wang, Sanu K. Mathew, Vivek De, Mingoo Seok 16.6 PACTOR: A Variation-Tolerant Probing-Attack Detector for a 2.5Gb/s×4-Channel Chip-to-Chip Interface in 28nm CMOS. Search on Bibsonomy ISSCC The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
15Yong Lim, Jaehoon Lee, Jongmi Lee, Kwangmin Lim, Seunghyun Oh, Jongwoo Lee, Sung-Ung Kwak 9.2 A 2.08mW 64.4dB SNDR 400MS/s 12b Pipelined-SAR ADC using Mismatch and PVT Variation Tolerant Dynamically Biased Ring Amplifier in 8nm. Search on Bibsonomy ISSCC The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
15Jieun Park, Yong Ki Lee, Bohdan Karpinskyy, Yunhyeok Choi, Jonghoon Shin, Hyo-Gyuem Rhew, Jongshin Shin 16.8 A 60Mb/s TRNG with PVT-Variation-Tolerant Design Based on STR in 4nm. Search on Bibsonomy ISSCC The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
15Ayush Dahiya, Poornima Mittal, Rajesh Rohilla Variation-Tolerant Sense Amplifier Using Decoupling Transistors for Enhanced SRAM Read Performance. Search on Bibsonomy Circuits Syst. Signal Process. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Xuliang Wang, Xiaosen Liu, Wing-Hung Ki A Self-Clocked and Variation-Tolerant Unified Voltage-and-Frequency Regulator for In-Order Executed Digital Loads. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Kangning Wang, Huidong Zhao, Jiliang Liu, Jialu Yin, Zhi Li, Shushan Qiao A low-overhead in-situ timing-error prediction technique with wide-voltage-range transition-detector for variation-tolerant digital circuits. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Jongmin Lee, Minsun Kim, Minhyeok Jeong, Gicheol Shin, Yoonmyung Lee A 20F2/Bit Current-Integration-Based Differential nand-Structured PUF for Stable and V/T Variation-Tolerant Low-Cost IoT Security. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Mithilesh Kumar 0008, Alak Majumder, Abir J. Mondal, Arijit Raychowdhury, Bidyut K. Bhattacharyya A low power and PVT variation tolerant mux-latch for serializer interface and on-chip serial link. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Bhawna Rawat, Poornima Mittal A Reliable and Temperature Variation Tolerant 7T SRAM Cell with Single Bitline Configuration for Low Voltage Application. Search on Bibsonomy Circuits Syst. Signal Process. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Soyoun Jeong, Jaerok Kim, Minhyeok Jeong, Yoonmyung Lee Variation-Tolerant and Low R-Ratio Compute-in-Memory ReRAM Macro With Capacitive Ternary MAC Operation. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Vipul Bhatnagar, Manoj Kumar Pandey, Sujata Pandey A Variation Tolerant Nanoscale SRAM for Low Power Wireless Sensor Nodes. Search on Bibsonomy Wirel. Pers. Commun. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Tomofumi Tsuchida, Akira Tsuchiya, Toshiyuki Inoue, Keiji Kishine Supply-Variation-Tolerant Transimpedance Amplifier Using Non-Inverting Amplifier in 180-nm CMOS. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Jin-O. Seo, Mingoo Seok, SeongHwan Cho ARCHON: A 332.7TOPS/W 5b Variation-Tolerant Analog CNN Processor Featuring Analog Neuronal Computation Unit and Analog Memory. Search on Bibsonomy ISSCC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Md Mahadi Masnad, Guowu Zhang, Dan-Xia Xu, Yuri Grinberg, Odile Liboiron-Ladouceur Dimensional Variation Tolerant Inverse Designed Broadband Mode Converter. Search on Bibsonomy OFC The full citation details ... 2022 DBLP  BibTeX  RDF
15Samuel J. Engers, Cheng Chu, Dawen Xu 0002, Ying Wang 0001, Fan Chen 0001 MOCCA: A Process Variation Tolerant Systolic DNN Accelerator using CNFETs in Monolithic 3D. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Sungju Ryu, Jongeun Koo, Wook Kim, Yonghwan Kim, Jae-Joon Kim Variation-Tolerant Elastic Clock Scheme for Low-Voltage Operations. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Neha Sharma, Rajeevan Chandel Variation tolerant and stability simulation of low power SRAM cell analysis using FGMOS. Search on Bibsonomy Int. J. Model. Simul. Sci. Comput. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Dongyeon Kang, Jun Tae Jang, Shinyoung Park, Md. Hasan Raza Ansari, Jong-Ho Bae, Sung-Jin Choi, Dong Myong Kim, Changwook Kim, Seongjae Cho, Dae Hwan Kim Threshold-Variation-Tolerant Coupling-Gate α-IGZO Synaptic Transistor for More Reliably Controllable Hardware Neuromorphic System. Search on Bibsonomy IEEE Access The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Hasita Veluri, Yida Li, Jessie Xuhua Niu, Evgeny Zamburg, Aaron Voon-Yew Thean High-Throughput, Area-Efficient, and Variation-Tolerant 3-D In-Memory Compute System for Deep Convolutional Neural Networks. Search on Bibsonomy IEEE Internet Things J. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Monica Gupta, Kirti Gupta, Neeta Pandey A novel PVT-variation-tolerant Schmitt-trigger-based 12T SRAM cell with improved write ability and high ION/IOFF ratio in sub-threshold region. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Hyungyo Kim, Joon Hwang, Dongseok Kwon, Jangsaeng Kim, Min Kyu Park, Jiseong Im, Byung-Gook Park, Jong-Ho Lee 0002 Direct Gradient Calculation: Simple and Variation-Tolerant On-Chip Training Method for Neural Networks. Search on Bibsonomy Adv. Intell. Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Hyungyo Kim, Joon Hwang, Dongseok Kwon, Jangsaeng Kim, Min-Kyu Park, Jiseong Im, Byung-Gook Park, Jong-Ho Lee 0002 Direct Gradient Calculation: Simple and Variation-Tolerant On-Chip Training Method for Neural Networks. Search on Bibsonomy Adv. Intell. Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Byungkyu Song, Sehee Lim, Seung-Hyuk Kang, Seong-Ook Jung Environmental-Variation-Tolerant Magnetic Tunnel Junction-Based Physical Unclonable Function Cell With Auto Write-Back Technique. Search on Bibsonomy IEEE Trans. Inf. Forensics Secur. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Kangqiang Pan, Amr M. S. Tosson, Norman Y. Zhou, Lan Wei A Novel Programmable Variation-Tolerant RRAM-based Delay Element Circuit. Search on Bibsonomy NANOARCH The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Dewei Wang, Sung Justin Kim, Minhao Yang, Aurel A. Lazar, Mingoo Seok A Background-Noise and Process-Variation-Tolerant 109nW Acoustic Feature Extractor Based on Spike-Domain Divisive-Energy Normalization for an Always-On Keyword Spotting Device. Search on Bibsonomy ISSCC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Arijit Raychowdhury, Mijung Noh, Keith A. Bowman Session 35 Overview: Adaptive Digital Techniques for Variation Tolerant Systems Digital Circuits Subcommittee. Search on Bibsonomy ISSCC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Jongmin Lee, Yoonmyung Lee A current-integrated differential NAND-structured PUF for stable and V/T variation-tolerant low-cost IoT security. Search on Bibsonomy A-SSCC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Wen-Jie Lin, Jeng-Han Tsai, Jen-Hao Cheng, Wei-Heng Lin, Tung-Tsen Chiang, Tian-Wei Huang A 67-86 GHz Spectrum-Efficient CMOS Transmitter Supporting 1024-QAM With a Process-Variation-Tolerant Design. Search on Bibsonomy IEEE Access The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Gwang Hui Choi, Taehui Na Novel MTJ-Based Sensing Inverter Variation Tolerant Nonvolatile Flip-Flop in the Near-Threshold Voltage Region. Search on Bibsonomy IEEE Access The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Prakhar Sharma, Shourya Gupta, Kirti Gupta, Neeta Pandey A low power subthreshold Schmitt Trigger based 12T SRAM bit cell with process-variation-tolerant write-ability. Search on Bibsonomy Microelectron. J. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Song Jin, Songwei Pei, Yu Wang A variation tolerant scheme for memristor crossbar based neural network designs via two-phase weight mapping and memristor programming. Search on Bibsonomy Future Gener. Comput. Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Jooyoon Kim, Jongsun Park 0001 Variation-Tolerant Separated Pre-Charge Sense Amplifier for Resistive Non-Volatile logic circuit. Search on Bibsonomy ISOCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Junyoung Ko, Younghwi Yang, Jisu Kim, Cheon An Lee, Young-Sun Min, Jin-Young Chun, Moosung Kim, Seong-Ook Jung Variation-Tolerant WL Driving Scheme for High-Capacity NAND Flash Memory. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Yongmin Lee, Yoonmyung Lee A PVT variation-tolerant static single-phase clocked dual-edge triggered flip-flop for aggressive voltage scaling. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Marco Lanuzza, Raffaele De Rose, Felice Crupi, Massimo Alioto An Energy Aware Variation-Tolerant Writing Termination Control for STT-based Non Volatile Flip-Flops. Search on Bibsonomy ICECS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Jinseok Kim 0004, Jongeun Koo, Taesu Kim, Yulhwa Kim, Hyungjun Kim, Seunghyun Yoo, Jae-Joon Kim Area-Efficient and Variation-Tolerant In-Memory BNN Computing using 6T SRAM Array. Search on Bibsonomy VLSI Circuits The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Lih-Yih Chiou, Chi-Ray Huang, Chang-Chieh Cheng, Jing-Yu Huang, Wei-Suo Ling A Variation-Tolerant Bitline Leakage Sensing Scheme for Near-Threshold SRAMs. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Furkan Peker, Mustafa Altun A Fast Hill Climbing Algorithm for Defect and Variation Tolerant Logic Mapping of Nano-Crossbar Arrays. Search on Bibsonomy IEEE Trans. Multi Scale Comput. Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Sujan K. Gonugondla, Mingu Kang, Naresh R. Shanbhag A Variation-Tolerant In-Memory Machine Learning Classifier via On-Chip Training. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Weiwei Shan, Xinning Liu, Minyi Lu, Liang Wan, Jun Yang 0006 A Low-Overhead Timing Monitoring Technique for Variation-Tolerant Near-Threshold Digital Integrated Circuits. Search on Bibsonomy IEEE Access The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Jaeyoung Park, Young Uk Yim Two-Phase Read Strategy for Low Energy Variation-Tolerant STT-RAM. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Jinghua Yang, Aykut Dengi, Sarma B. K. Vrudhula Design Considerations for Energy-Efficient and Variation-Tolerant Nonvolatile Logic. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Shinichi Nishizawa, Hidetoshi Onodera Design Methodology for Variation Tolerant D-Flip-Flop Using Regression Analysis. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Yi Xu, Jun Yang 0002, Rami G. Melhem A Process-Variation-Tolerant Method for Nanophotonic On-Chip Network. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Taehui Na, Byungkyu Song, Jung Pill Kim, Seung-Hyuk Kang, Seong-Ook Jung Data-Cell-Variation-Tolerant Dual-Mode Sensing Scheme for Deep Submicrometer STT-RAM. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Shourya Gupta, Kirti Gupta, Neeta Pandey Pentavariate Vmin Analysis of a Subthreshold 10T SRAM Bit Cell With Variation Tolerant Write and Divided Bit-Line Read. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Woo-Rham Bae, Kyung Jean Yoon, Taeksang Song, Borivoje Nikolic A Variation-Tolerant, Sneak-Current-Compensated Readout Scheme for Cross-Point Memory Based on Two-Port Sensing Technique. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Yi Yu, Jia Yuan, Lin-Lin Xie, Shushan Qiao, Yong Hei A practical, low-overhead, one-cycle correction design method for variation-tolerant digital circuits. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Asghar Bahramali, Marisa López-Vallejo A Temperature Variation Tolerant CMOS-Only Voltage Reference for RFID Applications. Search on Bibsonomy PATMOS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Jeongbin Kim 0001, Ki Tae Kim, Eui-Young Chung CAD Tool Flow for Variation-Tolerant Non-Volatile STT-MRAM LUT based FPGA. Search on Bibsonomy ICSCA The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Khawar Sarfraz, Jin He 0003, Mansun Chan A 140-mV Variation-Tolerant Deep Sub-Threshold SRAM in 65-nm CMOS. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Sheng Wang 0005, Chen Chen 0058, Xiaoyan Xiang, Jian-Yi Meng A Variation-Tolerant Near-Threshold Processor With Instruction-Level Error Correction. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Abdullah El-Bayoumi, Hassan Mostafa, Ahmed M. Soliman A Novel MIM-Capacitor-Based 1-GS/s 14-bit Variation-Tolerant Fully-Differential Voltage-to-Time Converter (VTC) Circuit. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Yi Wang 0003, Lisha Dong, Rui Mao 0001 P-Alloc: Process-Variation Tolerant Reliability Management for 3D Charge-Trapping Flash Memory. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Kangwook Jo, Hongil Yoon Variation-Tolerant Sensing Circuit for Ultralow-Voltage Operation of Spin-Torque Transfer Magnetic RAM. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Sheng Wang 0005, Chen Chen 0058, Xiaoyan Xiang, Jianyi Meng A metastability-immune error-resilient flip-flop for near-threshold variation-tolerant designs. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Yimai Peng, Haobo Zhao, Xun Sun, Chen Sun A Side-Channel Attack Resistant AES with 500Mbps, 1.92pJ/Bit PVT Variation Tolerant True Random Number Generator. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 280 (100 per page; Change: )
Pages: [1][2][3][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license