The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for wirelength with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1989-1998 (20) 1999-2000 (19) 2001-2002 (25) 2003 (24) 2004 (32) 2005 (45) 2006 (51) 2007 (57) 2008 (57) 2009 (37) 2010 (21) 2011-2012 (17) 2013-2017 (21) 2018-2021 (18) 2022-2024 (13)
Publication types (Num. hits)
article(141) inproceedings(316)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 405 occurrences of 176 keywords

Results
Found 457 publication records. Showing 457 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
136Hongyu Chen, Chung-Kuan Cheng, Andrew B. Kahng, Ion I. Mandoiu, Qinke Wang Estimation of wirelength reduction for lambda-geometry vs. manhattan placement and routing. Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF ?-geometry routing, ?-geometry-driven placement, wirelength reduction estimation
104Charles J. Alpert, Tony F. Chan, Andrew B. Kahng, Igor L. Markov, Pep Mulet Faster minimization of linear wirelength for global placement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
83Andrew E. Caldwell, Andrew B. Kahng, Stefanus Mantik, Igor L. Markov, Alexander Zelikovsky On wirelength estimations for row-based placement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
75Andrew B. Kahng, Sherief Reda A tale of two nets: studies of wirelength progression in physical design. Search on Bibsonomy SLIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF placer suboptimality, benchmarking, consistency, similarity, wirelength
75Shankar Balachandran, Dinesh Bhatia A-priori wirelength and interconnect estimation based on circuit characteristics. Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF routing demand, placement, wirelength, interconnect estimation
73Andrew B. Kahng, Sherief Reda Wirelength minimization for min-cut placements via placement feedback. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
73Shankar Balachandran, Dinesh Bhatia A priori wirelength and interconnect estimation based on circuit characteristic. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
73Zhuoyuan Li, Xianlong Hong, Qiang Zhou 0001, Yici Cai, Jinian Bian, Hannal Yang, Prashant Saxena, Vijay Pitchumani A divide-and-conquer 2.5-D floorplanning algorithm based on statistical wirelength estimation. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
73Charles C. Chiang, Qing Su, Ching-Shoei Chiang Wirelength reduction by using diagonal wire. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF 45° routing, diagonal routing, routing, steiner tree
71Hamid Shojaei, Tai-Hsuan Wu, Azadeh Davoodi, Twan Basten A pareto-algebraic framework for signal power optimization in global routing. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF pareto algebra, global routing, dynamic power
71Tung-Chieh Chen, Yi-Lin Chuang, Yao-Wen Chang Effective Wire Models for X-Architecture Placement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
71Tung-Chieh Chen, Yi-Lin Chuang, Yao-Wen Chang X-architecture placement based on effective wire models. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF X architecture, partitioning, placement, physical design, Steiner tree, min cut, net weighting
71Andrew B. Kahng, Qinke Wang Implementation and extensibility of an analytic placer. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
71Tony F. Chan, Jason Cong, Kenton Sze Multilevel generalized force-directed method for circuit placement. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF force-directed method, multilevel, standard cell placement
71Andrew B. Kahng, Qinke Wang Implementation and extensibility of an analytic placer. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF I/O-Core co-placement, hierarchical placement, congestion, geometric constraints, analytical placement
64Alastair M. Smith, Steven J. E. Wilton, Joydip Das Wirelength modeling for homogeneous and heterogeneous FPGA architectural development. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fpga modeling, wirelength estimation, fpga, architecture design
63Jackey Z. Yan, Chris Chu, Wai-Kei Mak SafeChoice: a novel clustering algorithm for wirelength-driven placement. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF vlsi placement, physical design, hypergraph clustering
63Jie Hao, Silong Peng HJ-hPl: Hierarchical Mixed-Size Placement Algorithm with Priori Wirelength Estimation. Search on Bibsonomy ICYCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
63Pradeep Fernando, Srinivas Katkoori An Elitist Non-Dominated Sorting Based Genetic Algorithm for Simultaneous Area and Wirelength Minimization in VLSI Floorplanning. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
63Jarrod A. Roy, James F. Lu, Igor L. Markov Seeing the forest and the trees: Steiner wirelength optimization in placemen. Search on Bibsonomy ISPD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF routing, placement, physical design, Steiner tree
63Vyas Krishnan, Srinivas Katkoori Design Space Exploration of RTL Datapaths Using Rent Parameter based Stochastic Wirelength Estimation. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
63Mary Yvonne Lanzerotti, Giovanni Fiorenza, Rick A. Rand Interpretation of rent's rule for ultralarge-scale integrated circuit designs, with an application to wirelength distribution models. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
63Chris Chu FLUTE: fast lookup table based wirelength estimation technique. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
63Andrew B. Kahng, Xu Xu 0001 Accurate pseudo-constructive wirelength and congestion estimation. Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
63Andrew E. Caldwell, Andrew B. Kahng, Stefanus Mantik, Igor L. Markov, Alexander Zelikovsky On wirelength estimations for row-based placement. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
62Stelian Alupoaei, Srinivas Katkoori Net Clustering Based Constructive and Iterative Improvement Approaches for Macro-Cell Placement. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF net clustering, macro-cell placement, cluster growth, wirelength optimization, simulated annealing
61Minsik Cho, David Z. Pan BoxRouter: A New Global Router Based on Box Expansion and Progressive ILP. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
61Jason Cong, Guojie Luo, Jie Wei, Yan Zhang Thermal-Aware 3D IC Placement Via Transformation. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
61Natarajan Viswanathan, Chris C. N. Chu FastPlace: efficient analytical placement using cell shifting, iterative local refinement and a hybrid net model. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF net models, analytical placement, standard cell placement
61David A. Papa, Saurabh N. Adya, Igor L. Markov Constructive benchmarking for placement. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF placer, performance, evaluation, benchmark, comparison
54Joni Dambre, Peter Verplaetse, Dirk Stroobandt, Jan Van Campenhout Getting more out of Donath's hierarchical model for interconnect prediction. Search on Bibsonomy SLIP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Donath's wirelength estimation technique, a priori wirelength estimation, partitioning based placement
53Vyas Krishnan, Srinivas Katkoori Clock Period Minimization with Iterative Binding Based on Stochastic Wirelength Estimation during High-Level Synthesis. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
53Jarrod A. Roy, Igor L. Markov Seeing the Forest and the Trees: Steiner Wirelength Optimization in Placement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
53Taraneh Taghavi, Majid Sarrafzadeh Hierarchical Concurrent Congestion and Wirelength Estimation in the Presence of IP Blocks. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
53Xiaojian Yang, Elaheh Bozorgzadeh, Majid Sarrafzadeh Wirelength estimation based on rent exponents of partitioning and placement. Search on Bibsonomy SLIP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
52Taraneh Taghavi, Ani Nahapetian, Majid Sarrafzadeh System Level Estimation of Interconnect Length in the Presence of IP Blocks. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Wirelength Estimation, Hierarchical Placement, Large-scale Circuits, Non-Uniform Probability Distribution, Rent's Rule, IP Blocks
51Jason Cong, Guojie Luo A multilevel analytical placement for 3D ICs. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
51Haoxing Ren, David Z. Pan, Charles J. Alpert, Gi-Joon Nam, Paul G. Villarrubia Hippocrates: First-Do-No-Harm Detailed Placement. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF synthesis optimizations, Hippocrates, first-do-no-harm detailed placement, pin-based timing constraint, electrical constraints, reduced wire-length
51Natarajan Viswanathan, Chris C. N. Chu FastPlace: efficient analytical placement using cell shifting, iterative local refinement, and a hybrid net model. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
51Andrew B. Kahng, Igor L. Markov, Sherief Reda On legalization of row-based placements. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF min-cut placement, legalization, detailed placement
51Jason Cong, Andrew B. Kahng, Gabriel Robins Matching-based methods for high-performance clock routing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
44Chiu-Wing Sham, Evangeline F. Y. Young, Hai Zhou 0001 Optimizing wirelength and routability by searching alternative packings in floorplanning. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF wirelength reduction, Floorplanning
43Audip Pandit, Ali Akoglu Wirelength Prediction for FPGAs. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
43Chen Li 0004, Cheng-Kok Koh Recursive Function Smoothing of Half-Perimeter Wirelength for Analytical Placement. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
43Idris Kaya, Silke Salewski, Markus Olbrich, Erich Barke Wirelength Reduction Using 3-D Physical Design. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
43Andrew B. Kahng, Paul Tucker, Alexander Zelikovsky Optimization of Linear Placements for Wirelength Minimization with Free Sites. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
42Dae Hyun Kim 0004, Saibal Mukhopadhyay, Sung Kyu Lim Through-silicon-via aware interconnect prediction and optimization for 3D stacked ICs. Search on Bibsonomy SLIP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF wirelength distribution, rent's rule, 3d ic, tsv, interconnect prediction, through silicon via
42Pratik J. Shah, Jiang Hu Impact of lithography-friendly circuit layout. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF cd variation, lithography, wirelength, routing congestion
42Jin-Tai Yan, Zhi-Wei Chen RDL pre-assignment routing for flip-chip designs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF RDL routing, flip-chip design, routability, wirelength
42Chiu-Wing Sham, Evangeline F. Y. Young, Chris C. N. Chu Optimal cell flipping in placement and floorplanning. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF flipping, placement, floorplanning, orientation, wirelength
42Yangdong Deng, Wojciech Maly Interconnect characteristics of 2.5-D system integration scheme. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF 2.5-D system integration, bounded sliceline grid, VLSI, partition, placement, floorplanning, wirelength
42Joni Dambre, Peter Verplaetse, Dirk Stroobandt, Jan Van Campenhout On rent's rule for rectangular regions. Search on Bibsonomy SLIP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF layout Rent parameters, rectangular layout region, wirelength distribution, Rent's rule
41Weixiang Shen, Yici Cai, Xianlong Hong, Jiang Hu Activity and register placement aware gated clock network design. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF gated clock tree, low power, placement
41Ou He, Sheqin Dong, Jinian Bian, Satoshi Goto, Chung-Kuan Cheng A novel fixed-outline floorplanner with zero deadspace for hierarchical design. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF floorplanner, soft modules, zero deadspace, fixed-outline
41Jia-Wei Fang, Yao-Wen Chang Area-I/O flip-chip routing for chip-package co-design. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
41Weixiang Shen, Yici Cai, Xianlong Hong, Jiang Hu Gate planning during placement for gated clock network. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
41Jason Cong, Michail Romesis, Joseph R. Shinnerl Fast floorplanning by look-ahead enabled recursive bipartitioning. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
41Jason Cong, Min Xie 0004 A robust detailed placement for mixed-size IC designs. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
41Yiyu Shi 0001, Paul Mesa, Hao Yu 0001, Lei He 0001 Circuit simulation based obstacle-aware Steiner routing. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF OARSMT, RSMT, simulation, routing
41Puneet Gupta 0001, Andrew B. Kahng, Ion I. Mandoiu, Puneet Sharma Layout-aware scan chain synthesis for improved path delay fault coverage. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
41Mary Yvonne Lanzerotti, Giovanni Fiorenza, Rick A. Rand Assessment of on-chip wire-length distribution models. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
41Andrew B. Kahng, Sherief Reda Placement feedback: a concept and method for better min-cut placements. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF min-cut placement, terminal propagation, feedback
41Puneet Gupta 0001, Andrew B. Kahng, Stefanus Mantik A Proposal for Routing-Based Timing-Driven Scan Chain Ordering. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
41Chung-Kuan Cheng, Andrew B. Kahng, Bao Liu 0001, Dirk Stroobandt Toward better wireload models in the presence of obstacles. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
41Maogang Wang, Xiaojian Yang, Majid Sarrafzadeh Congestion minimization during placement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
33Wentao Sui, Sheqin Dong, Jinian Bian Wirelength-driven force-directed 3D FPGA placement. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF SA, partition, placement, legalization, 3-D, force-directed
33Ming Xu, Gary Gréwal, Shawki Areibi, Charlie Obimbo, Dilip K. Banerji Near-linear wirelength estimation for FPGA placement. Search on Bibsonomy CCECE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
33Stelian Alupoaei, Srinivas Katkoori Energy Model Based Macrocell Placement for Wirelength Minimization. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
32Kwangok Jeong, Andrew B. Kahng, Kambiz Samadi Quantified Impacts of Guardband Reduction on Design Process Outcomes. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Guardband, chip size, yield, runtime, wirelength, design iterations
32Taraneh Taghavi, Foad Dabiri, Ani Nahapetian, Majid Sarrafzadeh Tutorial on congestion prediction. Search on Bibsonomy SLIP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF algorithm, prediction, delay, congestion, wirelength
32Chris C. N. Chu, Yiu-Chung Wong Fast and accurate rectilinear steiner minimal tree algorithm for VLSI design. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF rectilinear steiner minimal tree algorithm, wirelength estimation, routing
32Shyam Ramji, Nagu R. Dhanwada Design topology aware physical metrics for placement analysis. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF path-monotonicity, placement, timing analysis, wirelength
31Weixiang Shen, Yici Cai, Xianlong Hong, Jiang Hu, Bing Lu A single layer zero skew clock routing in X architecture. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF single layer, X architecture, zero skew, clock routing
31Minsik Cho, Katrina Lu, Kun Yuan, David Z. Pan BoxRouter 2.0: A hybrid and robust global router with layer assignment for routability. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF VLSI, physical design, congestion, integer linear programming, global routing, routability, layer assignment
31Jin-Tai Yan, Zhi-Wei Chen IO connection assignment and RDL routing for flip-chip designs. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
31Tung-Chieh Chen, Ping-Hung Yuh, Yao-Wen Chang, Few-Juh Huang, T.-Y. Liu MP-Trees: A Packing-Based Macro Placement Algorithm for Modern Mixed-Size Designs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Jason Cong, Min Xie 0004 A Robust Mixed-Size Legalization and Detailed Placement Algorithm. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Song Chen 0001, Takeshi Yoshimura Fixed-Outline Floorplanning: Block-Position Enumeration and a New Method for Calculating Area Costs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Tung-Chieh Chen, Zhe-Wei Jiang, Tien-Chang Hsu, Hsin-Chen Chen, Yao-Wen Chang NTUplace3: An Analytical Placer for Large-Scale Mixed-Size Designs With Preplaced Blocks and Density Constraints. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Kalliopi Tsota, Cheng-Kok Koh, Venkataramanan Balakrishnan Guiding global placement with wire density. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Seungwhun Paik, Youngsoo Shin Multiobjective optimization of sleep vector for zigzag power-gated circuits in standard cell elements. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF sleep vector, zigzag power gating, low power, leakage current, standard-cell
31Chen Li 0004, Min Xie 0004, Cheng-Kok Koh, Jason Cong, Patrick H. Madden Routability-Driven Placement and White Space Allocation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Minsik Cho, Katrina Lu, Kun Yuan, David Z. Pan BoxRouter 2.0: architecture and implementation of a hybrid and robust global router. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Brent Goplen, Sachin S. Sapatnekar Placement of 3D ICs with Thermal and Interlayer Via Considerations. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Natarajan Viswanathan, Gi-Joon Nam, Charles J. Alpert, Paul Villarrubia, Haoxing Ren, Chris C. N. Chu RQL: Global Placement via Relaxed Quadratic Spreading and Linearization. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Yiyu Shi 0001, Tong Jing, Lei He 0001, Zhe Feng 0002, Xianlong Hong CDCTree: novel obstacle-avoiding routing tree construction based on current driven circuit model. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Tung-Chieh Chen, Zhe-Wei Jiang, Tien-Chang Hsu, Hsin-Chen Chen, Yao-Wen Chang A high-quality mixed-size analytical placer considering preplaced blocks and density constraints. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Minsik Cho, David Z. Pan BoxRouter: a new global router based on box expansion and progressive ILP. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF VLSI, congestion, global routing
31Puneet Gupta 0001, Andrew B. Kahng, Stefanus Mantik Routing-aware scan chain ordering. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF testing, Layout, scan chain
31Karthik Balakrishnan, Vidit Nanda, Siddharth Easwar, Sung Kyu Lim Wire congestion and thermal aware 3D global placement. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Yongqiang Lu 0001, Cliff C. N. Sze, Xianlong Hong, Qiang Zhou 0001, Yici Cai, Liang Huang, Jiang Hu Register placement for low power clock network. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Yongqiang Lu 0001, Cliff C. N. Sze, Xianlong Hong, Qiang Zhou 0001, Yici Cai, Liang Huang, Jiang Hu Navigating registers in placement for clock network minimization. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF low power, placement, clock network, variation tolerance
31Tsung-Yi Ho, Chen-Feng Chang, Yao-Wen Chang, Sao-Jie Chen Multilevel full-chip routing for the X-based architecture. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Xarchitecture, routing, physical design, multilevel optimization
31Gang Chen 0020, Jason Cong Simultaneous Timing Driven Clustering and Placement for FPGAs. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
31Andrew B. Kahng, Qinke Wang An analytic placer for mixed-size placement and timing-driven placement. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
31Tony F. Chan, Jason Cong, Tim Kong, Joseph R. Shinnerl, Kenton Sze An Enhanced Multilevel Algorithm for Circuit Placement. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
31Rishi Chaturvedi, Jiang Hu A Simple Yet Effective Merging Scheme for Prescribed-Skew Clock Routing. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
31Bo-Kyung Choi, Huaiyu Xu, Maogang Wang, Majid Sarrafzadeh Flow-Based Cell Moving Algorithm for Desired Cell Distribution. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
31Ryan Kastner, Elaheh Bozorgzadeh, Majid Sarrafzadeh Pattern routing: use and theory for increasing predictability andavoiding coupling. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
31Chung-Wen Albert Tsao, Cheng-Kok Koh UST/DME: a clock tree router for general skew constraints. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Useful Skew, feasible skew range, incremental skew scheduling, merging and embedding, merging region, clock tree
Displaying result #1 - #100 of 457 (100 per page; Change: )
Pages: [1][2][3][4][5][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license