The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for x86 with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-1997 (17) 1998-1999 (16) 2000-2002 (31) 2003-2004 (31) 2005 (22) 2006 (34) 2007 (38) 2008 (34) 2009 (57) 2010 (45) 2011 (30) 2012 (30) 2013 (17) 2014 (15) 2015 (20) 2016 (16) 2017 (24) 2018 (17) 2019 (33) 2020 (26) 2021 (25) 2022 (23) 2023 (20) 2024 (4)
Publication types (Num. hits)
article(141) incollection(2) inproceedings(474) phdthesis(8)
Venues (Conferences, Journals, ...)
CoRR(34) PLDI(18) ISSCC(14) CGO(11) MICRO(10) IEEE Micro(9) USENIX Security Symposium(9) HPCA(8) ISPASS(8) SC(8) CC(7) CCS(7) ICCD(7) IEEE Trans. Parallel Distribut...(7) IPDPS(7) ISCA(7) More (+10 of total 307)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 321 occurrences of 243 keywords

Results
Found 625 publication records. Showing 625 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
139Matt T. Yourst PTLsim: A Cycle Accurate Full System x86-64 Microarchitectural Simulator. Search on Bibsonomy ISPASS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF client-server networked benchmark, PTLsim, cycle accurate full system, x86-64 microarchitectural simulator, superscalar x86-64 processor core, full-speed native execution, microarchitectural simulators, x86 ISA, microoperation level, multiprocessor capable simulation, Xen hypervisor, AMD Athlon 64 machine, virtual machine
80Scott Owens, Susmit Sarkar, Peter Sewell A Better x86 Memory Model: x86-TSO. Search on Bibsonomy TPHOLs The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
80Gogul Balakrishnan, Radu Gruian, Thomas W. Reps, Tim Teitelbaum CodeSurfer/x86-A Platform for Analyzing x86 Executables. Search on Bibsonomy CC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
74Jack Liu, Youfeng Wu Performance Characterization of the 64-bit x86 Architecture from Compiler Optimizations' Perspective. Search on Bibsonomy CC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
73P. Bosch, A. Carloganu, Daniel Etiemble Complete x86 instruction trace generation from hardware bus collect. Search on Bibsonomy EUROMICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF complete x86 instruction trace generation, hardware bus collect, architectural improvements, benchmark traces, hardware/software approach, x86 execution traces, commercial analyzer, computer architecture, microprocessors, memory hierarchies, trace driven simulation, performance data
69Gogul Balakrishnan, Thomas W. Reps, Nicholas Kidd, Akash Lal, Junghee Lim, David Melski, Radu Gruian, Suan Hsi Yong, Chi-Hua Chen, Tim Teitelbaum Model Checking x86 Executables with CodeSurfer/x86 and WPDS++. Search on Bibsonomy CAV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
63Keith Adams, Ole Agesen A comparison of software and hardware techniques for x86 virtualization. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF MMU, nested paging, SVM, virtualization, virtual machine monitor, dynamic binary translation, TLB, x86, VT
63Xuehai Qian, He Huang, Zhenzhong Duan, Junchao Zhang, Nan Yuan, Yongbin Zhou, Hao Zhang 0009, Huimin Cui, Dongrui Fan Optimized Register Renaming Scheme for Stack-Based x86 Operations. Search on Bibsonomy ARCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
63Dong Ye 0004, Joydeep Ray, Christophe Harle, David R. Kaeli Performance Characterization of SPEC CPU2006 Integer Benchmarks on x86-64 Architecture. Search on Bibsonomy IISWC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
62Jih-Ching Chiu, I-Huan Huang, Chung-Ping Chung Design of Instruction Stream Buffer with Trace Support for X86 Processors. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF instruction stream buffer, x86 architecture, multiple instruction fetch, superscalar processor, ILP, Trace cache
51Yoshiyuki Yamashita, Masato Tsuru Implementing Fast Packet Filters by Software Pipelining on x86 Processors. Search on Bibsonomy APPT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
51Bart Coppens 0001, Ingrid Verbauwhede, Koen De Bosschere, Bjorn De Sutter Practical Mitigations for Timing-Based Side-Channel Attacks on Modern x86 Processors. Search on Bibsonomy SP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
51Stevan A. Vlaovic, Edward S. Davidson TAXI: Trace Analysis for X86 Interpretation. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
51Anthony-Trung Nguyen, Maged M. Michael, Arun Sharma, Josep Torrellas The Augmint multiprocessor simulation toolkit for Intel x86 architectures. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Augmint multiprocessor simulation toolkit, Intel x86 architectures, publicly available simulation tools, instruction mix, memory reference patterns, CISC architectures, execution driven multiprocessor simulation toolkit, m4 macro extended C, C++ applications, SPLASH-2 benchmark suites, thread based programming model, shared global address space, private stack space, simulator interface, MINT simulation toolkit, x8d based uniprocessor systems, multiprocessing systems, trace driven simulation, architecture simulators, uniprocessors
45Kan Huang, Junlin Lu, Jiufeng Pang, Yansong Zheng, Hao Li, Dong Tong 0001, Xu Cheng 0001 FPGA prototyping of an amba-based windows-compatible SoC. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fpga, microsoft windows, amba, x86
45Dimitri Tan, Carl Lemonds, Michael J. Schulte Low-Power Multiple-Precision Iterative Floating-Point Multiplier with SIMD Support. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
45Shiliang Hu, James E. Smith 0001 Using Dynamic Binary Translation to Fuse Dependent Instructions. Search on Bibsonomy CGO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
45Brian Slechta, David Crowe, Brian Fahs, Michael Fertig, Gregory A. Muthler, Justin Quek, Francesco Spadini, Sanjay J. Patel, Steven Lumetta Dynamic Optimization of Micro-Operations. Search on Bibsonomy HPCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
40Susmit Sarkar, Peter Sewell, Francesco Zappa Nardelli, Scott Owens, Tom Ridge, Thomas Braibant, Magnus O. Myreen, Jade Alglave The semantics of x86-CC multiprocessor machine code. Search on Bibsonomy POPL The full citation details ... 2009 DBLP  DOI  BibTeX  RDF semantics, relaxed memory models
40Hui Zeng, Matt T. Yourst, Kanad Ghose, Dmitry V. Ponomarev MPTLsim: a simulator for X86 multicore processors. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF simulator, microprocessor, coherent cache
40Hovav Shacham The geometry of innocent flesh on the bone: return-into-libc without function calls (on the x86). Search on Bibsonomy CCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF return-into-libc, instruction set, turing completeness
40Virginia Escuder, Raúl Durán, Rafael Rico Analysis of x86 ISA Condition Codes Influence on Superscalar Execution. Search on Bibsonomy HiPC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Condition codes, Graph theory, Instruction level parallelism, Instruction set architecture
40Rich Oehler Computer science - architecture - Re-inventing the x86 architecture: quad-core and beyond. Search on Bibsonomy SC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
40Anshuman S. Nadkarni, Tom Kenville TiGeR, the Transmeta Instruction GEneratoR: A Production Based, Pseudo Random Instruction x86 Test Generator. Search on Bibsonomy MTV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
40Mikael Pettersson, Konstantinos Sagonas, Erik Johansson The HiPE/x86 Erlang Compiler: System Description and Performance Evaluation. Search on Bibsonomy FLOPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
40Zhiyu Wang, Mario A. Nascimento, Mike H. MacGregor A Multidisciplinary Approach for Online Detection of X86 Malicious Executables. Search on Bibsonomy CNSR The full citation details ... 2010 DBLP  DOI  BibTeX  RDF online detection, X86 code abstraction, network security, pattern matching
40Amol Vasudeva, Arvind Kumar Sharma, Ashish Kumar Saksham: Customizable x86 Based Multi-Core Microprocessor Simulator. Search on Bibsonomy CICSyN The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Microprocessor Simulator, x86 Architecture Simulator, Register/Instruction Set Simulator, Object oriented, Assembler
40Chang-Chung Liu, R.-Ming Shiu, Chung-Ping Chung Register renaming for x86 superscalar design. Search on Bibsonomy ICPADS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Intel x86 superscalar design, storage conflicts, data lengths, register write, register read, hardware renaming schemes, aggressive superscalar machine model, parallel architectures, instruction level parallelism, simulation results, microprocessor chips, register renaming
35Azalea Raad, Luc Maranget, Viktor Vafeiadis Extending Intel-x86 consistency and persistency: formalising the semantics of Intel-x86 memory types and non-temporal stores. Search on Bibsonomy Proc. ACM Program. Lang. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
35Maria Mushtaq Software-based Detection and Mitigation of Microarchitectural Attacks on Intel's x86 Architecture. (Mise en oeuvre de mécanismes logiciels pour la détection et la prévention des attaques exploitant la micro-architecture des processeurs Intel x86). Search on Bibsonomy 2019   RDF
35Hojoon Lee 0001, Chihyun Song, Brent ByungHoon Kang Lord of the x86 Rings: A Portable User Mode Privilege Separation Architecture on x86. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
35Hojoon Lee 0001, Chihyun Song, Brent ByungHoon Kang Lord of the x86 Rings: A Portable User Mode Privilege Separation Architecture on x86. Search on Bibsonomy CCS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
35Min Choi, Seungho Lim x86-Android performance improvement for x86 smart mobile devices. Search on Bibsonomy Concurr. Comput. Pract. Exp. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
35Peter Sewell, Susmit Sarkar, Scott Owens, Francesco Zappa Nardelli, Magnus O. Myreen x86-TSO: a rigorous and usable programmer's model for x86 multiprocessors. Search on Bibsonomy Commun. ACM The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
35Johannes Kinder Static analysis of x86 executables (Statische Analyse von Programmen in x86-Maschinensprache). Search on Bibsonomy 2010   RDF
34Loïc Duflot CPU Bugs, CPU Backdoors and Consequences on Security. Search on Bibsonomy ESORICS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF hardware bug, hardware backdoor, CPU, x86
34Stevan A. Vlaovic, Edward S. Davidson Boosting trace cache performance with nonhead miss speculation. Search on Bibsonomy ICS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF optimization, branch prediction, trace cache, x86
34Peter Sewell Memory, an elusive abstraction. Search on Bibsonomy ISMM The full citation details ... 2010 DBLP  DOI  BibTeX  RDF semantics, relaxed memory models
34Gabriel H. Loh, Samantika Subramaniam, Yuejian Xie Zesto: A cycle-level simulator for highly detailed microarchitecture exploration. Search on Bibsonomy ISPASS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
34Gogul Balakrishnan, Thomas W. Reps Analyzing Stripped Device-Driver Executables. Search on Bibsonomy TACAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Zhaozhong Ni, Dachuan Yu, Zhong Shao Using XCAP to Certify Realistic Systems Code: Machine Context Management. Search on Bibsonomy TPHOLs The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Derek Chiou, Dam Sunwoo, Joonsoo Kim, Nikhil A. Patil, William H. Reinhart, Darrel Eric Johnson, Jebediah Keefe, Hari Angepat FPGA-Accelerated Simulation Technologies (FAST): Fast, Full-System, Cycle-Accurate Simulators. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Derek Chiou, Dam Sunwoo, Joonsoo Kim, Nikhil A. Patil, William H. Reinhart, Darrel Eric Johnson, Zheng Xu 0004 The FAST methodology for high-speed SoC/computer simulation. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Jairo Panetta, Paulo R. P. de Souza Filho, Carlos A. da Cunha Filho, Fernando M. Roxo da Motta, Silvio Sinedino Pinheiro, Ivan Pedrosa Junior, Andre L. Romanelli Rosa, Luiz Rodolpho Monnerat, Leandro T. Carneiro, Carlos H. B. de Albrecht Computational Characteristics of Production Seismic Migration and its Performance on Novel Processor Architectures. Search on Bibsonomy SBAC-PAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Paul A. Karger Performance and security lessons learned from virtualizing the alpha processor. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF virtualizability, security, virtual machine monitors, hypervisors
34Junghee Lim, Thomas W. Reps, Ben Liblit Extracting Output Formats from Executables. Search on Bibsonomy WCRE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Michael Wolfe Software tools I - AMD versus Intel: the compiler as referee. Search on Bibsonomy SC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Elena Gabriela Barrantes, David H. Ackley, Trek S. Palmer, Darko Stefanovic, Dino Dai Zovi Randomized instruction set emulation to disrupt binary code injection attacks. Search on Bibsonomy CCS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF automated diversity, language randomization, security, emulation, information hiding, obfuscation
34James C. Dehnert, Brian Grant, John P. Banning, Richard Johnson, Thomas Kistler, Alexander Klaiber, Jim Mattson The Transmeta Code Morphing - Software: Using Speculation, Recovery, and Adaptive Retranslation to Address Real-Life Challenges. Search on Bibsonomy CGO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
34James C. Dehnert The Transmeta Crusoe: VLIW Embedded in CISC. Search on Bibsonomy SCOPES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
34Steven Lucco Split-stream dictionary program compression. Search on Bibsonomy PLDI The full citation details ... 2000 DBLP  DOI  BibTeX  RDF virtual machine, compression, runtime system
33Eriko Nurvitadhi, James C. Hoe, Shih-Lien Lu, Timothy Kam Automatic multithreaded pipeline synthesis from transactional datapath specifications. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF automatic pipelining, datapath specification, design exploration of x86 processor pipelines, multithreading, hardware synthesis
33Jeffrey K. Hollingsworth, Barton P. Miller, M. J. R. Goncalves, Oscar Naim, Zhichen Xu, Ling Zheng MDL: A Language and Compiler for Dynamic Program Instrumentation. Search on Bibsonomy IEEE PACT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF dynamic program instrumentation, running programs, instrumentation code, PA-RISC, Power 2 architecture, Alpha architecture, x86 architecture, Metric Description Language, Paradyn Parallel Performance Tools, platform independent descriptions, message channels, modules, MDL, nodes, procedures, application program, compiler generators, SPARC, files, dynamic code generation, performance data
33Thomas W. Lynch, Ashraf Ahmed, Michael J. Schulte, Thomas K. Callaway, Robert Tisdale The K5 transcendental functions. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1995 DBLP  DOI  BibTeX  RDF K5 transcendental functions, AMD x86 compatible superscalar microprocessor, multi-level development cycle, design schedule, table-driven reductions, multiprecision arithmetic operations, encoding, polynomials, floating point arithmetic, microprocessor chips, approximation theory, polynomial approximations
29Magnus O. Myreen Verified just-in-time compiler on x86. Search on Bibsonomy POPL The full citation details ... 2010 DBLP  DOI  BibTeX  RDF self-modifying code, just in time, compiler verification
29Roberto Paleari, Lorenzo Martignoni, Giampaolo Fresi Roglia, Danilo Bruschi N-version disassembly: differential testing of x86 disassemblers. Search on Bibsonomy ISSTA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF differential testing, software testing, automatic test generation
29Magnus O. Myreen, Michael J. C. Gordon Verified LISP Implementations on ARM, x86 and PowerPC. Search on Bibsonomy TPHOLs The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
29Daniel Hackenberg, Daniel Molka, Wolfgang E. Nagel Comparing cache architectures and coherency protocols on x86-64 multicore SMP systems. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Nehalem, Shanghai, benchmark, multi-core, coherency
29Vlastimil Babka, Petr Tuma 0001 Investigating Cache Parameters of x86 Family Processors. Search on Bibsonomy SPEC Benchmark Workshop The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
29Bratin Saha, Xiaocheng Zhou, Hu Chen, Ying Gao, Shoumeng Yan, Mohan Rajagopalan, Jesse Fang, Peinan Zhang, Ronny Ronen, Avi Mendelson Programming model for a heterogeneous x86 platform. Search on Bibsonomy PLDI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF programming model, heterogeneous platforms
29Bennet Yee, David Sehr, Gregory Dardyk, J. Bradley Chen, Robert Muth, Tavis Ormandy, Shiki Okasaka, Neha Narula, Nicholas Fullagar Native Client: A Sandbox for Portable, Untrusted x86 Native Code. Search on Bibsonomy SP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
29Larry Seiler, Doug Carmean, Eric Sprangle, Tom Forsyth, Michael Abrash, Pradeep Dubey, Stephen Junkins, Adam T. Lake, Jeremy Sugerman, Robert Cavin, Roger Espasa, Ed Grochowski, Toni Juan, Pat Hanrahan Larrabee: a many-core x86 architecture for visual computing. Search on Bibsonomy ACM Trans. Graph. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF graphics architecture, many-core computing, realtime graphics, software rendering, throughput computing, visual computing, parallel processing, GPGPU, SIMD
29Stefan Maus, Michal Moskal, Wolfram Schulte Vx86: x86 Assembler Simulated in C Powered by Automated Theorem Proving. Search on Bibsonomy AMAST The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29Wei Li 0020, Tzi-cker Chiueh Automated Format String Attack Prevention for Win32/X86 Binaries. Search on Bibsonomy ACSAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Daniel Stodden, Hubert Eichner, Max Walter, Carsten Trinitis Hardware Instruction Counting for Log-Based Rollback Recovery on x86-Family Processors. Search on Bibsonomy ISAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Marco Cova, Viktoria Felmetsger, Greg Banks, Giovanni Vigna Static Detection of Vulnerabilities in x86 Executables. Search on Bibsonomy ACSAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF binary static analysis, symbolic execution, Vulnerability analysis, taint analysis
29Susanta Nanda, Wei Li 0020, Lap-Chung Lam, Tzi-cker Chiueh Foreign Code Detection on the Windows/X86 Platform. Search on Bibsonomy ACSAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Mihai Christodorescu, Nicholas Kidd, Wen-Han Goh String analysis for x86 binaries. Search on Bibsonomy PASTE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Gogul Balakrishnan, Thomas W. Reps Analyzing Memory Accesses in x86 Executables. Search on Bibsonomy CC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Laurent Fournier, Yaron Arbetman, Moshe Levinger Functional Verification Methodology for Microprocessors Using the Genesys Test-Program Generator-Application to the x86 Microprocessors Family. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
29Aaron B. Brown, Margo I. Seltzer Operating System Benchmarking in the Wake of Lmbench: A Case Study of the Performance of NetBSD on Intel x86 Architecture. Search on Bibsonomy SIGMETRICS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
23Yasushi Saito Jockey: a user-space library for record-replay debugging. Search on Bibsonomy AADEBUG The full citation details ... 2005 DBLP  DOI  BibTeX  RDF execution record and replay, jockey, debugging, Linux, checkpointing, keywords, x86
23Ariel Ortiz Teaching the SIMD execution model: : assembling a few parallel programming skills. Search on Bibsonomy SIGCSE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF parallel computing, computer architecture, SIMD, assembly language, x86
23Greg DeFouw, Vaughan R. Pratt The Matchbox: A Small Wearable Platform. Search on Bibsonomy ISWC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Matchbox PC, wearable computer, embedded computing, x86
22Robert Law Using student blogs for documentation in software development projects. Search on Bibsonomy ITiCSE The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Graham Schelle, Jamison D. Collins, Ethan Schuchman, Perry H. Wang, Xiang Zou, Gautham N. Chinya, Ralf Plate, Thorsten Mattner, Franz Olbrich, Per Hammarlund, Ronak Singhal, Jim Brayton, Sebastian Steibl, Hong Wang 0003 Intel nehalem processor core made FPGA synthesizable. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF intel nehalem, synthesizable core, fpga, emulator
22Dave Christie, Jae-Woong Chung, Stephan Diestelhorst, Michael Hohmuth, Martin Pohlack, Christof Fetzer, Martin Nowack, Torvald Riegel, Pascal Felber, Patrick Marlier, Etienne Rivière Evaluation of AMD's advanced synchronization facility within a complete transactional memory stack. Search on Bibsonomy EuroSys The full citation details ... 2010 DBLP  DOI  BibTeX  RDF transactional memory
22Ciji Isen, Lizy K. John, Eugene John A Tale of Two Processors: Revisiting the RISC-CISC Debate. Search on Bibsonomy SPEC Benchmark Workshop The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22Yanjun Wu, Tzi-cker Chiueh, Chen Zhao Efficient and Automatic Instrumentation for Packed Binaries. Search on Bibsonomy ISA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22David M. Kunzman, Laxmikant V. Kalé Towards a framework for abstracting accelerators in parallel applications: experience with cell. Search on Bibsonomy SC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22Erik Buchanan, Ryan Roemer, Hovav Shacham, Stefan Savage When good instructions go bad: generalizing return-oriented programming to RISC. Search on Bibsonomy CCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF return-into-libc, return-oriented programming, RISC, SPARC
22Wei Chen 0009, Hongyi Lu, Li Shen 0007, Zhiying Wang 0003, Nong Xiao, Dan Chen 0001 A Novel Hardware Assisted Full Virtualization Technique. Search on Bibsonomy ICYCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Fernando Magno Quintão Pereira, Jens Palsberg Register allocation by puzzle solving. Search on Bibsonomy PLDI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF puzzle solving, register aliasing, register allocation
22Pat Conway, Bill Hughes The AMD Opteron Northbridge Architecture. Search on Bibsonomy IEEE Micro The full citation details ... 2007 DBLP  DOI  BibTeX  RDF system topology, northbridge, scalability, microarchitecture, point-to-point networking
22Todd J. Foster, Dennis L. Lastor, Padmaraj Singh First Silicon Functional Validation and Debug of Multicore Microprocessors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Xuehai Qian, He Huang, Hao Zhang 0009, Guoping Long, Junchao Zhang, Dongrui Fan Design and Implementation of Floating Point Stack on General RISC Architecture. Search on Bibsonomy PDP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Hongxu Cai, Zhong Shao, Alexander Vaynberg Certified self-modifying code. Search on Bibsonomy PLDI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF assembly code verification, runtime code manipulation, self-modifying code, hoare logic, modular verification
22Marek Olszewski, Keir Mierle, Adam Czajkowski, Angela Demke Brown JIT instrumentation: a novel approach to dynamically instrument operating systems. Search on Bibsonomy EuroSys The full citation details ... 2007 DBLP  DOI  BibTeX  RDF kernel analysis tools, dynamic instrumentation, JIT compiler, binary rewriting
22Jason Hickey, Aleksey Nogin Formal compiler construction in a logical framework. Search on Bibsonomy High. Order Symb. Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Formal compiler, Higher-order abstract syntax, Logical programming environment
22Susanta Nanda, Wei Li 0020, Lap-Chung Lam, Tzi-cker Chiueh BIRD: Binary Interpretation using Runtime Disassembly. Search on Bibsonomy CGO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Shiliang Hu, Ilhyun Kim, Mikko H. Lipasti, James E. Smith 0001 An approach for implementing efficient superscalar CISC processors. Search on Bibsonomy HPCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Adam Chlipala Modular development of certified program verifiers with a proof assistant. Search on Bibsonomy ICFP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF programming with dependent types, proof-carrying code, interactive proof assistants
22Shiliang Hu, James E. Smith 0001 Reducing Startup Time in Co-Designed Virtual Machines. Search on Bibsonomy ISCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Lap-Chung Lam, Tzi-cker Chiueh Checking Array Bound Violation Using Segmentation Hardware. Search on Bibsonomy DSN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Chi-Keung Luk, Robert S. Cohn, Robert Muth, Harish Patil, Artur Klauser, P. Geoffrey Lowney, Steven Wallace, Vijay Janapa Reddi, Kim M. Hazelwood Pin: building customized program analysis tools with dynamic instrumentation. Search on Bibsonomy PLDI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF program analysis tools, instrumentation, dynamic compilation
22Daniel Luna, Mikael Pettersson, Konstantinos Sagonas Efficiently compiling a functional language on AMD64: the HiPE experience. Search on Bibsonomy PPDP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF AMD64, functional programming, erlang
22Greg Bronevetsky, Daniel Marques, Keshav Pingali, Peter K. Szwed, Martin Schulz 0001 Application-level checkpointing for shared memory programs. Search on Bibsonomy ASPLOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF fault-tolerance, checkpointing, openMP, shared-memory programs
22Jason Hickey, Aleksey Nogin, Adam Granicz Compiler implementation in a formal logical framework. Search on Bibsonomy MERLIN The full citation details ... 2003 DBLP  DOI  BibTeX  RDF formal compiler, higher-order abstract syntax, logical programming environment
22Ing-Jer Huang, Ping-Huei Xie Application of instruction analysis/scheduling techniques to resource allocation of superscalar processors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Jun Xu 0003, Shuo Chen 0001, Zbigniew Kalbarczyk, Ravishankar K. Iyer An Experimental Study of Security Vulnerabilities Caused by Errors. Search on Bibsonomy DSN The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 625 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license