The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "VTS"( http://dblp.L3S.de/Venues/VTS )

URL (DBLP): http://dblp.uni-trier.de/db/conf/vts

Publication years (Num. hits)
1991 (53) 1992 (60) 1993 (62) 1994 (70) 1995 (70) 1996 (81) 1997 (70) 1998 (70) 1999 (65) 2000 (66) 2001 (67) 2002 (74) 2003 (58) 2004 (52) 2005 (69) 2006 (87) 2007 (60) 2008 (55) 2009 (59) 2010 (82) 2011 (65) 2012 (50) 2013 (69) 2014 (67) 2015 (63) 2016 (59) 2017 (50) 2018 (50) 2019 (56) 2020 (44) 2021 (39) 2022 (48) 2023 (43)
Publication types (Num. hits)
inproceedings(2000) proceedings(33)
Venues (Conferences, Journals, ...)
VTS(2033)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1928 occurrences of 678 keywords

Results
Found 2033 publication records. Showing 2033 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Daniel Tille, Leon Klimasch, Sebastian Huhn 0001 A Novel LBIST Signature Computation Method for Automotive Microcontrollers using a Digital Twin. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Javad Bahrami, Mohammad Ebrahimabadi, Jean-Luc Danger, Sylvain Guilley, Naghmeh Karimi Special Session: Security Verification & Testing for SR-Latch TRNGs. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Po-Yao Chuang, Francesco Lorenzelli, Sreejit Chakravarty, Cheng-Wen Wu, Georges G. E. Gielen, Erik Jan Marinissen Effective and Efficient Testing of Large Numbers of Inter-Die Interconnects in Chiplet-Based Multi-Die Packages. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Michele Portolan, Martin Keim, Jeff Rearick, Heiko Ehrenberg Refreshing the JTAG Family. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Bapi Vinnakota, Jaber Derakhshandeh, Eric Beyne, Erik Jan Marinissen, Sreejit Chakravarty IP Session on Chiplet: Design, Assembly, and Test. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Surendra Hemaram, Soyed Tuhin Ahmed, Mahta Mayahinia, Christopher Münch, Mehdi B. Tahoori A Low Overhead Checksum Technique for Error Correction in Memristive Crossbar for Deep Learning Applications. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Fabio Pavanello, Elena Ioana Vatajelu, Alberto Bosio, Thomas Van Vaerenbergh, Peter Bienstman, Benoît Charbonnier, Alessio Carpegna, Stefano Di Carlo, Alessandro Savino Special Session: Neuromorphic hardware design and reliability from traditional CMOS to emerging technologies. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Chin-Kuan Lin, Cheng-Che Lu, Shuo-Wen Chang, Ying-Hua Chu, Kai-Chiang Wu, Mango Chia-Tso Chao Outlier Detection for Analog Tests Using Deep Learning Techniques. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Gurumurti Kailaschandra Avhad, Shitin Sahu, Navaneeth Kumar Auxiliary State Machine Controlled Autonomous Design Verification Framework. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Fei Su, Xiankun Robert Jin, Nilanjan Mukherjee 0001, Yervant Zorian Innovation Practices Track: Silicon Lifecycle Management Challenges and Opportunities. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Adit D. Singh, Sreejit Chakravarty, George Papadimitriou 0001, Dimitris Gizopoulos Silent Data Errors: Sources, Detection, and Modeling. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Mohammad Hasan Ahmadilivani, Mario Barbareschi, Salvatore Barone, Alberto Bosio, Masoud Daneshtalab, Salvatore Della Torca, Gabriele Gavarini, Maksim Jenihhin, Jaan Raik, Annachiara Ruospo, Ernesto Sánchez 0001, Mahdi Taheri Special Session: Approximation and Fault Resiliency of DNN Accelerators. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1V. A. Niranjan, Deepika Neethirajan, Constantinos Xanthopoulos, D. Webster, Amit Nahar, Yiorgos Makris Machine Learning-Based Adaptive Outlier Detection for Underkill Reduction in Analog/RF IC Testing. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jackson Fugate, Greg Stitt, Naren Vikram Raj Masna, Aritra Dasgupta, Swarup Bhunia, Nij Dorairaj, David Kehlet An Exploration of ATPG Methods for Redacted IP and Reconfigurable Hardware. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Gooyoung Kim, Youngseon Moon, Jongmin Kim, Jaeyong Jeong, Eun-Kyoung Kim, Sunghoi Hur Kernel Smoothing Technique Based on Multiple-Coordinate System for Screening Potential Failures in NAND Flash Memory. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Ahmet Enis Çetin, Hongyi Pan Hybrid Binary Neural Networks: A Tutorial Review. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Artur Ghukasyan, Grigor Tshagharyan, Gurgen Harutyunyan, Yervant Zorian Overcoming Embedded Memory Test & Repair Challenges in the Gate-All-Around Era. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Shao-Chun Hung, Arjun Chaudhuri, Sanmitra Banerjee, Krishnendu Chakrabarty Special Session: Using Graph Neural Networks for Tier-Level Fault Localization in Monolithic 3D ICs *. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yu-Min Li, Cheng-Yun Hsieh, Yen-Wei Li, James Chien-Mo Li Diagnosis of Quantum Circuits in the NISQ Era. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Ian Hill, André Ivanov Gerabaldi: A Temporal Simulator for Probabilistic IC Degradation and Failure Processes. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Irith Pomeranz Expanding a Pool of Functional Test Sequences to Support Test Compaction. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Lilas Alrahis, Ozgur Sinanoglu Graph Neural Networks for Hardware Vulnerability Analysis - Can you Trust your GNN? Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Fei Su, Meirav Nitzan, Ankush Sethi, Vaibhav Kumar, Dan Alexandrescu Innovation Practices Track: VLSI Functional Safety. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Subashini Gopalsamy, Irith Pomeranz Fully Deterministic Storage Based Logic Built-In Self-Test. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Fei Su, Marc Hunter, Chen He, Sashi Obilisetty Innovation Practices Track: Innovation on Telemetry Monitoring. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Vinay Kumar, Bhrugurajsinh Chudasama, Bin B. W. Wang, Manish Arora, Bharath Shankaranarayanan Allocating Physically Aware Embedded Memory Test & Repair Processor using Floorplan Info at the RTL Design Level. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Mingye Li, Yunkun Lin, Sandeep Gupta 0001 Design for testability (DFT) for RSFQ circuits. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Francesco Angione, Paolo Bernardi, Nicola Di Gruttola Giardino, Davide Appello, Claudia Bertani, Vincenzo Tancorre A guided debugger-based fault injection methodology for assessing functional test programs. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Judy Amanor-Badu, Ritchie Rice, Azizi Shuma, Rishik Bazaz, Horthense Tamdem Pre and post silicon server platform transient performance using trans-inductor voltage regulator. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Mridha Md Mashahedur Rahman, M. Sazadur Rahman, Rasheed Kibria, Mike Borza, Bandy Reddy, Adam Cron, Fahim Rahman, Mark M. Tehranipoor, Farimah Farahmandi CAPEC: A Cellular Automata Guided FSM-based IP Authentication Scheme. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1 41st IEEE VLSI Test Symposium, VTS 2023, San Diego, CA, USA, April 24-26, 2023 Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yu-Teng Nien, Chen-Hong Li, Pei-Yin Wu, Yung-Jheng Wang, Kai-Chiang Wu, Mango C.-T. Chao Test Generation for Defect-Based Faults of Scan Flip-Flops. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Keqing Ouyang, Minqiang Peng, Yunnong Zhu, Kang Qi, Grigor Tshagharyan, Arun Kumar, Gurgen Harutyunyan, Isaac Wang An Efficient External Memory Test Solution: Case Study for HPC Application. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Saidapet Ramesh, Kristofor Dickson, Akshay Jaiswal, Robert Marchese, Kiran Sunny Thota Targeted Custom High-Voltage Stress Patterns on Automotive Designs. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Chun Chen, Jeng-Yu Liao, James Chien-Mo Li, Harry H. Chen, Eric Jia-Wei Fang Vmin Prediction Using Nondestructive Stress Test. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Sohrab Aftabjahani, Mark M. Tehranipoor, Farimah Farahmandi, Bulbul Ahmed, Ryan Kastner, Francesco Restuccia 0002, Andres Meza 0001, Kaki Ryan, Nicole Fern, Jasper Van Woudenberg, Rajesh Velegalati, Cees-Bart Breunesse, Cynthia Sturton, Calvin Deutschbein Special Session: CAD for Hardware Security - Promising Directions for Automation of Security Assurance. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Leon Li, Alex Orailoglu Thwarting Reverse Engineering Attacks through Keyless Logic Obfuscation. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Gauri Koli, Liam Nguyen, Jennifer Kitchen Architectural Radiation Hardening of CMOS Power Management Circuits through Bias Tuning. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Fei Su, Eric Zhang, Arjun Chaudhuri, Michael Paulitsch Innovation Practices Track: Testability and Dependability of AI Hardware and Autonomous Systems. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Irith Pomeranz Compact Set of Functional Broadside Tests with Fault Detection on Primary Outputs. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Arjun Chaudhuri, Ching-Yuan Chen, Jonti Talukdar, Krishnendu Chakrabarty Functional Test Generation for AI Accelerators using Bayesian Optimization∗. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Mikail Yayla, Simon Thomann, Md. Mazharul Islam 0006, Ming-Liang Wei, Shu-Yin Ho, Ahmedullah Aziz, Chia-Lin Yang, Jian-Jia Chen, Hussam Amrouch Reliable Brain-inspired AI Accelerators using Classical and Emerging Memories. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Mohammad Ershad Shaik, Abhishek Kumar Mishra, Yonghyun Kim Predicting the Silent Data Error Prone Devices Using Machine Learning. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Sahil Shah, Jennifer Blain Christen Special Session: Calibrating mismatch in an ISFET with a Floating-Gate. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Arani Sinha Innovative Practices Track: Silent Data Errors. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jerin Joe, Nilanjan Mukherjee 0001, Irith Pomeranz, Janusz Rajski Fast Test Generation for Structurally Similar Circuits. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Rubin A. Parekhji Innovative Practices Track: New Methods for System Level Test of Image Projection and Radar VLSI Systems. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Minqiang Peng, Youfa Wu, Jialiang Li, Alex Yu, Grigor Tshagharyan, Costas Argyrides, Vilas Sridharan, Gurgen Harutyunyan, Yervant Zorian, Nilanjan Mukherjee Innovative Practices Track: What's Next for Automotive: Where and How to Improve Field Test and Enhance SoC Safety. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Sreejit Chakravarty Special Session: A Call to Standardize Chip-let Interconnect Testing. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Mingye Li, Fangzhou Wang, Sandeep Gupta 0001 Methods for testing path delay and static faults in RSFQ circuits. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Shail Dave, Alberto Marchisio, Muhammad Abdullah Hanif, Amira Guesmi, Aviral Shrivastava, Ihsen Alouani, Muhammad Shafique 0001 Special Session: Towards an Agile Design Methodology for Efficient, Reliable, and Secure ML Systems. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Baishakhi Rani Biswas, Sandeep Gupta 0001 Memristor-Specific Failures: New Verification Methods and Emerging Test Problems. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Bala Tarun Nelapatla, Rahul Singhal, Michael Daub, Zoran Stanojevics Innovative Practices Track: High Speed Test Fabric. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Debarshi Chatterjee, Parth Lathigara, Siddhanth Dhodhi, Chad Parsons FIFO Topology Aware Stalling for Accelerating Coverage Convergence of Stalling Regressions. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Sankaran M. Menon, Rolf Kühnis Special Session: Closed Chassis Platform Debug of Compute Systems using the Functional Ubiquitous USB Type-C Receptacle. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Fei Su, Stephen Crosher, Andrea Matteucci, Yuwen Zou Innovation Practices Track: Silicon Telemetry for Dependability. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Mona Ganji, Marampally Saikiran, Degang Chen 0001 All Digital Low-Overhead SAR ADC Built-In Self-Test for Fault Detection and Diagnosis. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Anteneh Gebregiorgis, Lizhou Wu, Christopher Münch, Siddharth Rao, Mehdi B. Tahoori, Said Hamdioui Special Session: STT-MRAMs: Technology, Design and Test. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Aibin Yan, Kuikui Qian, Jie Cui 0004, Ningning Cui, Zhengfeng Huang, Xiaoqing Wen, Patrick Girard 0001 A Highly Reliable and Low Power RHBD Flip-Flop Cell for Aerospace Applications. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Soyed Tuhin Ahmed, Mehdi B. Tahoori Fault-tolerant Neuromorphic Computing with Functional ATPG for Post-manufacturing Re-calibration. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ziqi Zhou, Ujjwal Guin, Peng Li, Vishwani D. Agrawal Fault Modeling and Test Generation for Technology-Specific Defects of Skyrmion Logic Circuits. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Praise O. Farayola, Isaac Bruce, Shravan K. Chaganti, Abalhassan Sheikh, Srivaths Ravi 0001, Degang Chen 0001 The Least-Squares Approach to Systematic Error Identification and Calibration in Semiconductor Multisite Testing. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jennifer Hasler Special Session: Testing and Characterization for Large-Scale Programmable Analog Systems. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Daniel W. Gulick, Yuna Jung, Seunghyun Lee, Sule Ozev, Jennifer Blain Christen Exploring Model-based Failure Prediction of Passive Bio-electro-mechanical Implants. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Gang Qu 0001, Benjamin Tan 0001, Kuheli Pratihar, Debdeep Mukhopadhyay, Ramesh Karri Innovation Practices Track: Security in Test and Test for Security. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Nitin Chaudhary Innovative Practices Track: Novel Methods for Validation and Test. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Vineet Pancholi Special Session: Test Impact of Multi-Die Packages. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Christopher Münch, Jongsin Yun, Martin Keim, Mehdi B. Tahoori MBIST-based Trim-Search Test Time Reduction for STT-MRAM. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Juan-David Guerrero-Balaguera, Josie E. Rodriguez Condia, Matteo Sonza Reorda A New Method to Generate Software Test Libraries for In-Field GPU Testing Resorting to High-Level Languages. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Sandeep Kumar Goel, Sandeep Pendharkar, Chunsheng Liu Innovative Practices Track: Test of 3D ICs & Chiplets. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Marcel Merten, Sebastian Huhn 0001, Rolf Drechsler A Hardware-based Evolutionary Algorithm with Multi-Objective Optimization Operators for On-Chip Transient Fault Detection. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Amit Pandey, Brendan Tully, Abhijeet Samudra, Ajay Nagarandal, Karthikeyan Natarajan, Rahul Singhal Novel Technique for Manufacturing & In-system Testing of Large Scale SoC using Functional Protocol Based High-Speed I/O. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Muslum Emir Avci, Sule Ozev, Y. B. Chethan Kumar Fast RF Mismatch Calibration Using Built-in Detectors. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Arjun Chaudhuri, Jonti Talukdar, Krishnendu Chakrabarty Special Session: Fault Criticality Assessment in AI Accelerators. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Mahta Mayahinia, Atousa Jafari, Mehdi B. Tahoori Voltage Tuning for Reliable Computation in Emerging Resistive Memories. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Rasheed Kibria, Nusrat Farzana, Farimah Farahmandi, Mark M. Tehranipoor FSMx: Finite State Machine Extraction from Flattened Netlist With Application to Security. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ho-Chieh Hsu, Cheng-Che Lu, Shih-Wei Wang, Kelly Jones, Kai-Chiang Wu, Mango C.-T. Chao Rule Generation for Classifying SLT Failed Parts. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Deepika Neethirajan, V. A. Niranjan, Richard Willis, Amit Nahar, D. Webster, Yiorgos Makris Machine Learning-Based Overkill Reduction through Inter-Test Correlation. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Chris Mangelsdorf, Manasa Madhvaraj, Salvador Mir, Manuel J. Barragán, Daisuke Iimori, Takayuki Nakatani, Shogo Katayama, Gaku Ogihara, Yujie Zhao, Jianglin Wei, Anna Kuwana, Kentaroh Katoh, Kazumi Hatayama, Haruo Kobayashi 0001, Keno Sato, Takashi Ishida 0003, Toshiyuki Okamoto, Tamotsu Ichikawa Innovative Practices Track: Innovative Analog Circuit Testing Technologies. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Antonios Pavlidis, Eric Faehn, Marie-Minerve Louërat, Haralampos-G. Stratigopoulos Run-Time Hardware Trojan Detection in Analog and Mixed-Signal ICs. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Mahmut Yilmaz, Pavan Kumar Datla Jagannadha, Kaushik Narayanun, Shantanu Sarangi, Francisco Da Silva, Joe Sarmiento, Smbat Tonoyan, Ashwin Chintaluri, Animesh Khare, Milind Sonawane, Ashish Kumar, Anitha Kalva, Alex Hsu, Jayesh Pandey NVIDIA MATHS: Mechanism to Access Test-Data over High-Speed Links. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Renjian Pan, Xin Li 0001, Krishnendu Chakrabarty Semi-Supervised Root-Cause Analysis with Co-Training for Integrated Systems. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Abram Detofsky Special Session: A Testability Practitioner's Guide to Chiplets. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Bora Bilgic, Sule Ozev Performance Degradation Monitoring for Analog Circuits Using Lightweight Built-in Components. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Shamik Kundu, Suvadeep Banerjee, Arnab Raha, Kanad Basu Special Session: Effective In-field Testing of Deep Neural Network Hardware Accelerators. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Seyed Nima Mozaffari, Bonita Bhaskaran, Shantanu Sarangi, Suhas M. Satheesh, Kuo Lin Fu, Nithin Valentine, P. Manikandan, Mahmut Yilmaz On-Die Noise Measurement During Automatic Test Equipment (ATE) Testing and In-System-Test (IST). Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Arani Sinha Innovative Practices Track: Next Generation Test Standards. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Cheng Liu 0008, Zhen Gao, Siting Liu 0001, Xuefei Ning, Huawei Li 0001, Xiaowei Li 0001 Special Session: Fault-Tolerant Deep Learning: A Hierarchical Perspective. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Riccardo Cantoro, Francesco Garau, Riccardo Masante, Sandro Sartoni, Virendra Singh, Matteo Sonza Reorda Exploiting post-silicon debug hardware to improve the fault coverage of Software Test Libraries. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Mehdi Sadi, Yi He 0010, Yanjing Li, Mahabubul Alam, Satwik Kundu, Swaroop Ghosh, Javad Bahrami, Naghmeh Karimi Special Session: On the Reliability of Conventional and Quantum Neural Network Hardware. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Shi-Xuan Zheng, Chung-Yu Yeh, Kuen-Jong Lee, Chen Wang 0014, Wu-Tung Cheng, Mark Kassab, Janusz Rajski, Sudhakar M. Reddy Accurate Estimation of Test Pattern Counts for a Wide-Range of EDT Input/Output Channel Configurations. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Soham Roy, Spencer K. Millican, Vishwani D. Agrawal Special Session - Machine Learning in Test: A Survey of Analog, Digital, Memory, and RF Integrated Circuits. Search on Bibsonomy VTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Natalia Lylina, Ahmed Atteya, Hans-Joachim Wunderlich A Hybrid Protection Scheme for Reconfigurable Scan Networks. Search on Bibsonomy VTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Hussam Amrouch, Animesh Basak Chowdhury, Wentian Jin, Ramesh Karri, Farshad Khorrami, Prashanth Krishnamurthy, Ilia Polian, Victor M. van Santen, Benjamin Tan 0001, Sheldon X.-D. Tan Special Session: Machine Learning for Semiconductor Test and Reliability. Search on Bibsonomy VTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Elham Amini, Kai Bartels, Christian Boit, Marius Eggert, Norbert Herfurth, Tuba Kiyan, Thilo Krachenfels, Jean-Pierre Seifert, Shahin Tajik Special Session: Physical Attacks through the Chip Backside: Threats, Challenges, and Opportunities. Search on Bibsonomy VTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jinhua Zhu, Zhen Gao 0005, Jie Jin, Pedro Reviriego Reliability Evaluation of the Count Min Sketch (CMS) against Single Event Transients (SETs). Search on Bibsonomy VTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Simon Thomann, Chao Li 0065, Cheng Zhuo, Om Prakash 0007, Xunzhao Yin, Xiaobo Sharon Hu, Hussam Amrouch On the Reliability of In-Memory Computing: Impact of Temperature on Ferroelectric TCAM. Search on Bibsonomy VTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Xingyi Wang, Yu Li 0007, Yiquan Chen, Shiwen Wang, Yin Du, Cheng He, Yuzhong Zhang, Pinan Chen, Xin Li, Wenjun Song, Qiang Xu 0001, Li Jiang 0002 On Workload-Aware DRAM Failure Prediction in Large-Scale Data Centers. Search on Bibsonomy VTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Sebastian Brandhofer, Simon J. Devitt, Thomas Wellens, Ilia Polian Special Session: Noisy Intermediate-Scale Quantum (NISQ) Computers - How They Work, How They Fail, How to Test Them? Search on Bibsonomy VTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Emmanuel Casseau, Petr Dobiás, Oliver Sinnen, Gennaro Severino Rodrigues, Fernanda Lima Kastensmidt, Alessandro Savino, Stefano Di Carlo, Maurizio Rebaudengo, Alberto Bosio Special Session: Operating Systems under test: an overview of the significance of the operating system in the resiliency of the computing continuum. Search on Bibsonomy VTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 2033 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license