The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Synthesis with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1949-1958 (15) 1959-1961 (18) 1962-1963 (24) 1964-1965 (43) 1966-1967 (38) 1968 (33) 1969 (18) 1970 (19) 1971 (16) 1972 (15) 1973 (16) 1974 (29) 1975 (38) 1976 (40) 1977 (43) 1978 (64) 1979 (49) 1980 (42) 1981 (50) 1982 (58) 1983 (51) 1984 (66) 1985 (116) 1986 (131) 1987 (151) 1988 (175) 1989 (261) 1990 (385) 1991 (343) 1992 (364) 1993 (419) 1994 (670) 1995 (556) 1996 (563) 1997 (638) 1998 (810) 1999 (798) 2000 (905) 2001 (872) 2002 (1042) 2003 (1127) 2004 (1330) 2005 (1419) 2006 (1557) 2007 (1634) 2008 (1728) 2009 (1274) 2010 (1485) 2011 (1092) 2012 (1265) 2013 (1428) 2014 (1465) 2015 (1315) 2016 (1581) 2017 (1534) 2018 (1743) 2019 (1994) 2020 (2041) 2021 (2333) 2022 (2508) 2023 (2800) 2024 (641)
Publication types (Num. hits)
article(15949) book(72) data(11) incollection(258) inproceedings(27856) phdthesis(975) proceedings(157)
Venues (Conferences, Journals, ...)
CoRR(4238) ICASSP(818) INTERSPEECH(809) CODES+ISSS(775) ALIFE(711) DAC(700) IEEE Trans. Comput. Aided Des....(668) CASES(604) LOPSTR(567) SSW(528) ICCAD(473) DATE(457) ICMC(455) CDC(419) SMACD(397) ACC(343) More (+10 of total 4355)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 14306 occurrences of 4820 keywords

Results
Found 45278 publication records. Showing 45278 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
26José M. Mendías, Román Hermida Correct High-Level Synthesis: a Formal Perspective. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF automatic formal synthesis, formal verification, high-level synthesis, streams
26Pradeep Prabhakaran, Prithviraj Banerjee Simultaneous Scheduling, Binding and Floorplanning in High-level Synthesis. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF timing driven synthesis, High-level synthesis, floorplanning
26Sumit Roy 0003, Prithviraj Banerjee A Comparison of Parallel Approaches for Algebraic Factorization in Logic Synthesis. Search on Bibsonomy IPPS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF algebraic factorization, circuit replication, totally independent factorization, L-shaped partitioning strategy, rectangle interaction, ex1010 circuit, sequential kernel extraction algorithms, SIS sequential circuit synthesis system, quality degradation, parallel algorithms, logic synthesis, logic CAD, circuit partitions, divide-and-conquer strategy
26Oliver Bringmann 0001, Wolfgang Rosenstiel Resource sharing in hierarchical synthesis. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Hierarchical Synthesis, High-Level Synthesis, Resource Sharing
26Shashank K. Mehta, Kent L. Einspahr, Sharad C. Seth Synthesis for Testability by Two-Clock Control. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF two-clock control scheme, split coding system, FSM benchmark, timing, finite state machine, sequential circuit, encoding, logic synthesis, Hamiltonian cycle, synthesis for testability, state transition graph
26Tony Ezzat, Tomaso A. Poggio Facial Analysis and Synthesis Using Image-Based Models. Search on Bibsonomy FG The full citation details ... 1996 DBLP  DOI  BibTeX  RDF facial synthesis, analysis by synthesis, image-based facial models, facial analysis
26Sukumar Nandi, Parimal Pal Chaudhuri Theory and applications of cellular automata for synthesis of easily testable combinational logic. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF testable combinational logic, combinational logic blocks, test machine, data path synthesis phase, autonomous mode, aliasing error probability, associated lines, test application overheads, test parallelism, simultaneous testing, multiple combinational modules, graph theory, fault diagnosis, logic testing, high level synthesis, test generation, cellular automata, cellular automata, design for testability, combinational circuits, logic CAD, stuck-at faults, shift registers, cost effectiveness, registers, test vectors, test responses, state transition graph
26Glenn Holt, Akhilesh Tyagi EPNR: an energy-efficient automated layout synthesis package. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF EPNR, energy-efficient automated layout synthesis package, MCNC Logic Synthesis '93 benchmarks, VPNR, VLSI energy minimization problems, VLSI, logic testing, placement, logic CAD, circuit layout CAD, global routing, logic arrays, standard cells, channel routing
26Ireneusz Karkowski Architectural synthesis with possibilistic programming. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF possibilistic programming, fuzzy mathematical programming, simultaneous scheduling, FOAS, computational complexity, computational complexity, fuzzy logic, high level synthesis, high-level synthesis, circuit CAD, mathematical programming, possibility theory
26Santonu Sarkar, Anupam Basu, Arun K. Majumdar Synchronization of communicating modules and processes in high level synthesis. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF communicating modules, object oriented design framework, nonblocking channel, real life image processing, synchronization, high level synthesis, high level synthesis, application specific integrated circuits, synchronisation, object-oriented methods, component reuse, ASIC designs, image processing equipment
26Dorothy E. Setliff, Rob A. Rutenbar Knowledge Representation and Reasoning in a Software Synthesis Architecture. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF software synthesis architecture, reasoning strategies, automatic program synthesis architecture, ELF, procedure-level decomposition, synthetic router, data structures, knowledge representation, knowledge representation, computer-aided design, inference mechanisms, automatic programming, circuit layout CAD, design space, VLSI circuits, domain-specific knowledge
26Siliang Lv, Shangfei Wang, Xufa Wang Emotional speech synthesis by XML file using interactive genetic algorithms. Search on Bibsonomy GEC Summit The full citation details ... 2009 DBLP  DOI  BibTeX  RDF emotion, speech synthesis, interactive genetic algorithms
26Jason Cong, Bin Liu 0006, Zhiru Zhang Behavior-level observability don't-cares and application to low-power behavioral synthesis. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF low power, observability, behavioral synthesis
26Thomas Chatain, Paul Gastin, Nathalie Sznajder Natural Specifications Yield Decidability for Distributed Synthesis of Asynchronous Systems. Search on Bibsonomy SOFSEM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Distributed synthesis, Asynchronous systems
26Purandar Bhaduri, S. Ramesh Interface synthesis and protocol conversion. Search on Bibsonomy Formal Aspects Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Component compatibility, Interface synthesis, Interface automata, Protocol conversion
26Wan-Chun Ma, Andrew Jones 0001, Jen-Yuan Chiang, Tim Hawkins, Sune Frederiksen, Pieter Peers, Marko Vukovic, Ming Ouhyoung, Paul E. Debevec Facial performance synthesis using deformation-driven polynomial displacement maps. Search on Bibsonomy ACM Trans. Graph. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF facial performance synthesis, polynomial displacement maps
26Jason Cong, Wei Jiang Pattern-based behavior synthesis for FPGA resource reduction. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGA, pattern, behavior synthesis
26Jian Xiang, Hongli Zhu Automatic Subspace Synthesis of Motion Styles Based on Isomap. Search on Bibsonomy ICIC (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF synthesis, subspace, Isomap, Motion style
26Matthew Hockenberry, Leonardo Bonanni Renaissance panel: the roles of creative synthesis in innovation. Search on Bibsonomy CHI Extended Abstracts The full citation details ... 2008 DBLP  DOI  BibTeX  RDF creative synthesis, hybrid practices, design, management, creativity, innovation, art, interdisciplinary
26Martin Lukac, Marek A. Perkowski Projective Measurement-Based Logic Synthesis of Quantum Circuits. Search on Bibsonomy ISMVL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Projective Measurement, Logic Synthesis, Quantum Circuits
26France Mihelic, Bostjan Vesnicer, Janez Zibert, Elmar Nöth Prosodic Events Recognition in Evaluation of Speech-Synthesis System Performance. Search on Bibsonomy TSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Speech synthesis, prosody, system evaluation
26Ruchir Puri, William H. Joyner, Shekhar Borkar, Ty Garibay, Jonathan Lotz, Robert K. Montoye Custom is from Venus and synthesis from Mars. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF IC synthesis techniques, custom IC design, VLSI design
26Don S. Batory From implementation to theory in product synthesis. Search on Bibsonomy POPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF features, program synthesis, category theory, mixins, model driven design, virtual classes, AHEAD, GenVoca
26Greg Stitt, Frank Vahid, Walid A. Najjar A code refinement methodology for performance-improved synthesis from C. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF code refinement, coding guidelines, FPGA, embedded systems, compilation, synthesis, hardware/software partitioning
26Yiping Wang, Wencheng Wang, Enhua Wu Optimizing the parameters for patch-based texture synthesis. Search on Bibsonomy VRCIA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF patch-based texture synthesis, texture features, adaptive optimization
26Jicheng Fu, Farokh B. Bastani, I-Ling Yen Automated AI Planning and Code Pattern Based Code Synthesis. Search on Bibsonomy ICTAI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Automated code synthesis, Graphplan, AI planning, Embedded real-time systems, Code patterns
26Lujin Wang, Xianfeng Gu, Klaus Mueller 0001, Shing-Tung Yau Uniform texture synthesis and texture mapping using global parameterization. Search on Bibsonomy Vis. Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Global conformal parameterization, Texture mapping, Texture synthesis, Riemann surfaces
26Tomasz S. Czajkowski, Jonathan Rose A synthesis oriented omniscient manual editor. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF virtex-e, synthesis, manual
26Martin Lukac, Marek A. Perkowski, Hilton Goi, Mikhail Pivtoraiko, Chung Hyo Yu, Kyusik Chung, Hyunkoo Jeech, Byung-Guk Kim, Yong Duk Kim Evolutionary Approach to Quantum and Reversible Circuits Synthesis. Search on Bibsonomy Artif. Intell. Rev. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF minimizing transformation, Quantum CAD, Quantum Logic Synthesis, genetic algorithm
26Yiu-Hing Chan, Prabhakar Kudva, Lisa B. Lacey, Gregory A. Northrop, Thomas E. Rosser Physical synthesis methodology for high performance microprocessors. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF synthesis, microprocessors, high-performance
26Xin Tong 0001, Jingdan Zhang, Ligang Liu, Xi Wang, Baining Guo, Heung-Yeung Shum Synthesis of bidirectional texture functions on arbitrary surfaces. Search on Bibsonomy ACM Trans. Graph. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF 3D textons, texture mapping, texture synthesis, surfaces, bidirectional texture function, reflectance and shading models
26Vijay Raghunathan, Anand Raghunathan, Mani B. Srivastava, Milos D. Ercegovac High-Level Synthesis with SIMD Units. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF SIMD functional units, High-level synthesis, high performance design
26Robert Siegmund, Dietmar Müller 0001 A novel synthesis technique for communication controller hardware from declarative data communication protocol specifications. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF controller hardware synthesis, interface-based design, protocol specification
26Alvin J. Surkan Spoken-word direction of computer program synthesis. Search on Bibsonomy APL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF chatting in context, computer program synthesis, constructive composition of functional programs, incremental verbal software specification, spoken computer commands, talking with computers, voice computing, voice-directed programming, APL, human-computer interface
26Bernd Fischer 0002, Jon Whittle 0001 An Integration of Deductive Retrieval into Deductive Synthesis. Search on Bibsonomy ASE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF deductive retrieval, software engineering, software reuse, program synthesis
26Pao-Ann Hsiung, Chung-Hwang Chen, Trong-Yen Lee, Sao-Jie Chen ICOS: an intelligent concurrent object-oriented synthesis methodology for multiprocessor systems. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF concurrent object-oriented system-level synthesis, fuzzy design-space exploration, learning
26Youn-Long Lin Recent developments in high-level synthesis. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF high level synthesis, design methodology, VLSI design, design automation
26Premal Buch, Amit Narayan, A. Richard Newton, Alberto L. Sangiovanni-Vincentelli Logic synthesis for large pass transistor circuits. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF logic synthesis, BDD, Pass transistor logic
26Pao-Ann Hsiung, Trong-Yen Lee, Sao-Jie Chen Object-Oriented Technology Transfer to Multiprocessor System-Level Synthesis. Search on Bibsonomy TOOLS (24) The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Learning, Synthesis, Object-Oriented Modeling, Design Management
26Anand Raghunathan, Niraj K. Jha An iterative improvement algorithm for low power data path synthesis. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Low power VLSI design, Power consumption, Behavioral synthesis
26Rajeev Murgai, Masahiro Fujita, Fumiyasu Hirose Logic synthesis for a single large look-up table. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF single large look-up table, LUT-based field-programmable gate array architectures, simulation time minimisation, compile-code, software simulation, field programmable gate arrays, Boolean function, logic design, logic synthesis, hardware accelerator, programmable logic arrays, table lookup, logic simulation, FPGA architectures, logic functions, on-chip memory, memory constraint
26Daniel Y. Chao, David T. Wang A synthesis technique of general petri nets. Search on Bibsonomy J. Syst. Integr. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF ordinary Petri nets, general Petri nets, liveness boundedness, structural relationship, synthesis, rule, deadlock, Concurrent system, reversible, flexible manufacturing system
26Ajoy Kumar Datta, Sukumar Ghosh Modular Synthesis of Deadlock-Free Control Structures. Search on Bibsonomy FSTTCS The full citation details ... 1986 DBLP  DOI  BibTeX  RDF regular nets, Petri nets, synthesis, deadlock
26Yexin Zheng, Chao Huang A novel Toffoli network synthesis algorithm for reversible logic. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
26Lech Józwiak, Szymon Bieganski Technology Library Modelling for Information-driven Circuit Synthesis. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Mehdi Saeedi, Naser MohammadZadeh, Mehdi Sedighi, Morteza Saheb Zamani Evaluation and Improvement of Quantum Synthesis Algorithms based on a Thorough Set of Metrics. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Alan Mishchenko, Michael L. Case, Robert K. Brayton, Stephen Jang Scalable and scalably-verifiable sequential synthesis. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Leevi Peltola, Cumhur Erkut, Perry R. Cook, Vesa Välimäki Synthesis of Hand Clapping Sounds. Search on Bibsonomy IEEE Trans. Speech Audio Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Patrick Ndjiki-Nya, Christoph Stüber, Thomas Wiegand Texture Synthesis Method for Generic Video Sequences. Search on Bibsonomy ICIP (3) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Sven Schewe, Bernd Finkbeiner Bounded Synthesis. Search on Bibsonomy ATVA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Vyas Krishnan, Srinivas Katkoori A 3D-Layout Aware Binding Algorithm for High-Level Synthesis of Three-Dimensional Integrated Circuits. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Tao Xu 0002, Krishnendu Chakrabarty Integrated Droplet Routing in the Synthesis of Microfluidic Biochips. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Nagarajan Ranganathan, Ravi Namballa, Narender Hanchate CHESS: A Comprehensive Tool for CDFG Extraction and Synthesis of Low Power Designs from VHDL. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Wen-hui Li, Meng Yu, Zhen-hua Zhang, Dong-fei Liu, Jian-yuan Wang A Fast Temporal Texture Synthesis Algorithm Using Segment Genetic Algorithm. Search on Bibsonomy ISMIS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Jia-Wei Chiou, Chuan-Kai Yang A New Algorithm for Solid Texture Synthesis. Search on Bibsonomy ISVC (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Jae-Jin Lee, Gi-Yong Song High-Level Synthesis Using SPARK and Systolic Array. Search on Bibsonomy ARC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Tsung-Hsi Chiang, Lan-Rong Dung System-level verification on high-level synthesis of dataflow graph. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Rui Zhang, Pallav Gupta, Lin Zhong 0001, Niraj K. Jha Threshold network synthesis and optimization and its application to nanotechnologies. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Lech Józwiak, Szymon Bieganski High-quality Sub-function Construction in the Information-driven Circuit Synthesis with Gates. Search on Bibsonomy DSD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Keoncheol Shin, Taewhan Kim An integrated approach to timing-driven synthesis and placement of arithmetic circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Sebastián Uchitel, Jeff Kramer, Jeff Magee Synthesis of Behavioral Models from Scenarios. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF scenario-based specification, sequence chart combination, requirements analysis, Requirements specification, Message Sequence Charts
26Ravi Varadarajan Convergence of placement technology in physical synthesis: is placement really a point tool? Search on Bibsonomy ISPD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Jason Cong, Yiping Fan, Guoling Han, Xun Yang, Zhiru Zhang Architectural Synthesis Integrated with Global Placement for Multi-Cycle Communication. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Josep Carmona 0001, Jordi Cortadella, Enric Pastor Synthesis of Reactive Systems: Application to Asynchronous Circuit Design. Search on Bibsonomy Concurrency and Hardware Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Loïs Guiller, Frederic Neuveux, S. Duggirala, R. Chandramouli, Rohit Kapur Integrating DFT in the Physical Synthesis Flow. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Petr Motlícek, Geneviève Baudoin, Jan Cernocký, Gérard Chollet Minimization of Transition Noise and HNM Synthesis in Very Low Bit Rate Speech Coding. Search on Bibsonomy TSD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
26Montek Singh, Steven M. Nowick Synthesis for logical initializability of synchronous finite-state machines. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
26Tamal Mukherjee, L. Richard Carley, Rob A. Rutenbar Efficient handling of operating range and manufacturing linevariations in analog cell synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
26Manas Saksena, Panagiota Karvelas, Yun Wang Automatic Synthesis of Multi-Tasking Implementations from Real-Time Object-Oriented Models. Search on Bibsonomy ISORC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
26Orna Kupferman, Moshe Y. Vardi µ-Calculus Synthesis. Search on Bibsonomy MFCS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
26Wander O. Cesário, Ahmed Amine Jerraya, Zoltan Sugar, Imed Moussa Rethinking Behavioral Synthesis for a Better Integration within Existing Design Flows. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
26Darko Kirovski, Chunho Lee, Miodrag Potkonjak, William H. Mangione-Smith Application-driven synthesis of memory-intensive systems-on-chip. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Ganesh Lakshminarayana, Niraj K. Jha High-level synthesis of power-optimized and area-optimized circuits from hierarchical data-flow intensive behaviors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Abhijit Ghosh, Joachim Kunkel, Stan Y. Liao Hardware Synthesis from C/C++. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Ganesh Lakshminarayana, Niraj K. Jha Synthesis of Power-Optimized and Area-Optimized Circuits from Hierarchical Behavioral Descriptions. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF transceiver, spread spectrum communication, RF CMOS, digital radio, ISM frequency band
26Indradeep Ghosh, Anand Raghunathan, Niraj K. Jha Design for hierarchical testability of RTL circuits obtained by behavioral synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
26Sandeep Bhatia, Niraj K. Jha Synthesis for parallel scan: applications to partial scan and robust path-delay fault testability. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
26Giovanni De Micheli, David C. Ku HERCULES - a System for High-Level Synthesis. Search on Bibsonomy DAC The full citation details ... 1988 DBLP  BibTeX  RDF
25Sujit Dey, Anand Raghunathan, Kenneth D. Wagner Design for Testability Techniques at the Behavioral and Register-Transfer Levels. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF behavioral synthesis for testability, behavioral synthesis for BIST, high-level test generation, RTL synthesis for testability, design for testability
25Huy Nguyen 0001, Abhijit Chatterjee OPTIMUS: a new program for OPTIMizing linear circuits with number-splitting and shift-and-add decompositions. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF linear network synthesis, OPTIMUS program, linear circuits, shift-and-add decomposition, behavioral synthesis tool, architectural transformations, numerical matrix transformation algorithms, number-splitting transformation, optimization, high level synthesis, multiplications, circuit CAD, circuit optimisation, matrix decomposition
25Jay K. Adams, Donald E. Thomas Multiple-process behavioral synthesis for mixed hardware-software systems. Search on Bibsonomy ISSS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF automated iterative improvement technique, concurrency optimization, concurrency tradeoffs, cost/performance ratio, hardware-software tradeoffs, mixed hardware-software systems, multiple-process behavioral synthesis, software engineering, resource allocation, concurrency control, controllers, optimisation, high level synthesis, logic design, multiprocessing systems, microprocessors, ASICs, application specific integrated circuits, ASIC, microprocessor chips, cost-benefit analysis
25Patrick Schaumont, Bart Vanthournout, Ivo Bolsens, Hugo De Man Synthesis of pipelined DSP accelerators with dynamic scheduling. Search on Bibsonomy ISSS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF DSP algorithms, FFT butterfly accelerator block, application specific DSP accelerators, highly pipelined data paths, pipelined DSP accelerator synthesis, pipelined bit-parallel hardware, silicon, scheduling, parallel architectures, application specific integrated circuits, dynamic scheduling, pipeline processing, circuit CAD, digital signal processing chips, datapath, controller architecture, network synthesis, run-time schedules
25Seong Yong Ohm, Fadi J. Kurdahi, Nikil D. Dutt, Min Xu A comprehensive estimation technique for high-level synthesis. Search on Bibsonomy ISSS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF HLS benchmarks, RT level components, RTL datapaths, estimation technique, delays, high level synthesis, high-level synthesis, timing, design space exploration, granularity, hardware description languages, data flow graphs, registers, system buses, timing model, buses, behavioral description, layout area
25Krzysztof Kuchcinski Constraints-driven scheduling and resource assignment. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF scheduling, high-level synthesis, Constraint programming, system-level synthesis, resource assignment
24Luis A. Plana, Doug A. Edwards, Sam Taylor, Luis A. Tarazona, Andrew Bardsley Performance-driven syntax-directed synthesis of asynchronous processors. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF handshake components, syntax-directed synthesis, asynchronous circuits
24Miljan Vuletic, Christophe Dubach, Laura Pozzi, Paolo Ienne Enabling unrestricted automated synthesis of portable hardware accelerators for virtual machines. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF virtual machine, synthesis, accelerator
24Gwenolé Corre, Eric Senn, Pierre Bomel, Nathalie Julien, Eric Martin 0001 Memory accesses management during high level synthesis. Search on Bibsonomy CODES+ISSS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF memory aware, behavioral synthesis
24Hyunuk Jung, Soonhoi Ha Hardware synthesis from coarse-grained dataflow specification for fast HW/SW cosynthesis. Search on Bibsonomy CODES+ISSS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF automatic hardware synthesis, VHDL, system level design, dataflow graph(DFG), HW/SW codesign
24Manoj Kumar Jain, M. Balakrishnan, Anshul Kumar An efficient technique for exploring register file size in ASIP synthesis. Search on Bibsonomy CASES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF ASIP Synthesis, retargetable estimation, storage exploration, design space exploration, instruction scheduling, register file, global analysis, register spill, liveness analysis
24Johnny Öberg, Anshul Kumar, Ahmed Hemani Grammar-Based Hardware Synthesis of Data Communication Protocols. Search on Bibsonomy ISSS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Grammar-based Specification, Data Communication Protocols, Design Space Exploration, Hardware Synthesis
24Filip Thoen, Marco Cornero, Gert Goossens, Hugo De Man Real-time multi-tasking in software synthesis for information processing systems. Search on Bibsonomy ISSS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF automatic processor mapping, automatically generated application-specific solution, concurrent process system specification, flexible execution models, hardware resource utilization, information processing systems, internal representation model, mobile satellite communication, personal terminal receiver demodulator, real-time multi-tasking, static information, time utilization, real-time systems, embedded systems, concurrency control, processor scheduling, timing constraints, computer aided software engineering, software synthesis, multiprocessing programs
24Greg Stitt, Zhi Guo, Walid A. Najjar, Frank Vahid Techniques for synthesizing binaries to an advanced register/memory structure. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF smart buffers, FPGA, embedded systems, synthesis, decompilation, binaries
24Hajime Shibata, Adrian Stoica, Nobuo Fujii Controllable decoding for automated analog circuit structure design. Search on Bibsonomy Soft Comput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Automated circuit synthesis, Genetic algorithm, Analog circuit
24Narendra V. Shenoy, Mahesh A. Iyer, Robert F. Damiano, Kevin Harer, Hi-Keung Tony Ma, Paul Thilking A Robust Solution to the Timing Convergence Problem in High-Performance Design. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF timing convergence, maximum capacitance, synthesis, placement, design-rules
24Ralph H. J. M. Otten, Robert K. Brayton Planning for Performance. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF high-level synthesis, telecommunication
24David Haigh, Fang Qun Tan, Christos Papavassiliou Systematic synthesis method for analogue circuits. Part II. Active-RC circuit synthesis. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Christian Blumenröhr, Dirk Eisenbiegler An Efficient Representation for Formal Synthesis. Search on Bibsonomy ISSS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
24Yonghong Yang, Zhenyu (Peter) Gu, Changyun Zhu, Robert P. Dick, Li Shang ISAC: Integrated Space-and-Time-Adaptive Chip-Package Thermal Analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Sandeep S. Kulkarni, Ali Ebnenasir Adding Fault-Tolerance Using Pre-synthesized Components. Search on Bibsonomy EDCC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Formal methods, Distributed programs, Detectors, Correctors, Automatic addition of fault-tolerance
23Xijin Tang 0001 Qualitative Meta-synthesis Techniques for Analysis of Public Opinions for in-depth Study. Search on Bibsonomy Complex (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF qualitative meta-synthesis, CorMap, iView, meta-synthesis, word association
Displaying result #301 - #400 of 45278 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license