The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for DRAM with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1983-1992 (15) 1993-1994 (30) 1995 (15) 1996 (21) 1997 (33) 1998 (31) 1999 (53) 2000 (55) 2001 (52) 2002 (45) 2003 (50) 2004 (35) 2005 (50) 2006 (56) 2007 (58) 2008 (66) 2009 (75) 2010 (58) 2011 (61) 2012 (77) 2013 (68) 2014 (94) 2015 (119) 2016 (124) 2017 (131) 2018 (126) 2019 (136) 2020 (96) 2021 (123) 2022 (122) 2023 (134) 2024 (37)
Publication types (Num. hits)
article(827) book(1) data(1) inproceedings(1400) phdthesis(17)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 700 occurrences of 376 keywords

Results
Found 2246 publication records. Showing 2246 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
38Alessandro Cevrero, Panagiotis Athanasopoulos, Hadi Parandeh-Afshar, Philip Brisk, Yusuf Leblebici, Paolo Ienne, Maurizio Skerlj 3D configuration caching for 2D FPGAs. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF field programmable gate array (fpga), reconfigurable computing, 3d integration, configuration caching
38Alejandro Valero, Julio Sahuquillo, Salvador Petit, Vicente Lorente, Ramon Canal, Pedro López 0001, José Duato An hybrid eDRAM/SRAM macrocell to implement first-level data caches. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF retention time, static and dynamic memory cells, leakage current
38Ping Zhou, Bo Zhao 0007, Jun Yang 0002, Youtao Zhang A durable and energy efficient main memory using phase change memory technology. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF low power, phase change memory, endurance
38Y. Hosogaya, Toshio Endo, Satoshi Matsuoka Performance evaluation of parallel applications on next generation memory architecture with power-aware paging method. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
38Yu-Tsao Hsing, Chun-Chieh Huang, Jen-Chieh Yeh, Cheng-Wen Wu SDRAM Delay Fault Modeling and Performance Testing. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
38Wenjing Zhang, Graham A. Jullien, Vassil S. Dimitrov A Programmable Base MDLNS MAC with Self-Generated Look-Up Table. Search on Bibsonomy IWSOC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
38Alexander Thomasian Data Allocation and Scheduling in Disks and Disk Arrays. Search on Bibsonomy MASCOTS Tutorials The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
38Philip Machanick, Zunaid Patel L1 Cache and TLB Enhancements to the RAMpage Memory Hierarchy. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
38Ganesan Umanesan, Eiji Fujiwara A Class of Random Multiple Bits in a Byte Error Correcting (S t/b EC)Codes for Semiconductor Memory Systems. Search on Bibsonomy PRDC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
38Wei-Chung Cheng, Massoud Pedram Low power techniques for address encoding and memory allocation. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
38Nien-Tsu Wang, Nam Ling A Novel Dual-Path Architecture for HDTV Video Decoding. Search on Bibsonomy Data Compression Conference The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
38Yasunao Katayama, Eric J. Stuckey, Sumio Morioka, Zhao Wu Fault-Tolerant Refresh Power Reduction of DRAMs for Quasi-Nonvolatile Data Retention. Search on Bibsonomy DFT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
38Richard Fromm, Stylianos Perissakis, Neal Cardwell, Christoforos E. Kozyrakis, Bruce McGaughy, David A. Patterson 0001, Thomas E. Anderson, Katherine A. Yelick The Energy Efficiency of IRAM Architectures. Search on Bibsonomy ISCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
38Maurizio Skerlj, Paolo Ienne Error Protected Data Bus Inversion Using Standard DRAM Components. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF bus inversion, reliability, low power, memory, ECC, DRAM, error protection
38Riichiro Takemura, Kiyoo Itoh 0001, Tomonori Sekiguchi A 0.5-V FD-SOI twin-cell DRAM with offset-free dynamic-VT sense amplifiers. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF FD-SOI, dynamic-VT sense amplifier, low-voltage RAM, twin-cell DRAM
38Michael I. Fuller, James P. Mabry, John A. Hossack, Travis N. Blalock 40 MHz 0.25 um CMOS embedded 1T bit-line decoupled DRAM FIFO for mixed-signal applications. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF CMOS, DRAM, ultrasound, FIFO, embedded memory
38Victor Delaluz, Anand Sivasubramaniam, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin Scheduler-based DRAM energy management. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF scheduler, operating systems, energy management, DRAM, energy estimation
38Takahiro Hanyu, Hiromitsu Kimura, Michitaka Kameyama DRAM-Cell-Based Multiple-Valued Logic-in-Memory VLSI with Charge Addition and Charge Storage. Search on Bibsonomy ISMVL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF logic-in-memory, communication bottleneck, threshold operation, functional pass gate, DRAM, multiple-valued logic
38Norbert Wehn, Søren Hein Embedded DRAM Architectural Trade-Offs. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF embedded logic, embedded DRAM
38Jaewon Park, Jae Hoon Lee, Sang-Kil Park, Ki Chul Chun, Kyomin Sohn, Sungho Kang 0001 An In-DRAM BIST for 16 Gb DDR4 DRAM in the 2nd 10-nm-Class DRAM Process. Search on Bibsonomy IEEE Access The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
35Bo Zhao 0007, Yu Du, Youtao Zhang, Jun Yang 0002 Variation-tolerant non-uniform 3D cache management in die stacked multicore processor. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF 3D die stacking, NUCA, process variation, DRAM
35Kiyoo Itoh 0001 Leakage- and variability-conscious circuit designs for the 0.5-v nanoscale CMOS era. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF 0.5-v nanoscale cmos lsis, conventional mosfet, minimum vdd, speed variation, vt variation, leakage, sram, dram, finfet
35Nevine AbouGhazaleh, Bruce R. Childers, Daniel Mossé, Rami G. Melhem Near-Memory Caching for Improved Energy Consumption. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Memory power management, Cached DRAM, Power Management, Energy-aware systems, Memory design
35Betty Prince Nanotechnology and emerging memories. Search on Bibsonomy SBCCI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF FeRAM, ferroelectric, floating body, nanocrystal, nitride storage, scaling issues, single electron memories, memory, variability, scaling, SRAM, MEMs, DRAM, flash, MRAM, phase change, non-volatile, molecular memory
35Jui-Hua Li, Nam Ling An efficient video decoder design for MPEG-2 MP@ML. Search on Bibsonomy ASAP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF video decoder design, MPEG-2 MP@ML, video decoder architecture, function-specific processing blocks, variable-length decoder, inverse 2-D discrete cosine transform, motion compensation unit, bus-monitoring model, bus arbitration schemes, DRAM accesses, motion compensation, buffer sizes
32Menghao Su, Xiang Gao, Yunji Chen, Qi Liu, Longbing Zhang Efficiency-Aware QoS DRAM Scheduler. Search on Bibsonomy NAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
32Yan Li 0030, Helmut Schneider, Florian Schnabel 0002, Roland Thewes, Doris Schmitt-Landsiedel Latched CMOS DRAM Sense Amplifier Yield Analysis and Optimization. Search on Bibsonomy PATMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
32Amit Hadke, Tony Benavides, S. J. Ben Yoo, Rajeevan Amirtharajah, Venkatesh Akella OCDIMM: Scaling the DRAM Memory Wall Using WDM Based Optical Interconnects. Search on Bibsonomy Hot Interconnects The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
32Jorge García-Vidal, Maribel March, Llorenç Cerdà, Jesús Corbal, Mateo Valero A DRAM/SRAM Memory Scheme for Fast Packet Buffers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF high-performance memory systems, Router architecture, storage schemes, packet buffers
32Changwoo Byun, Seog Park, Sejong Oh OS-DRAM: A Delegation Administration Model in a Decentralized Enterprise Environment. Search on Bibsonomy WAIM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Ad J. van de Goor An Industrial Evaluation of DRAM Tests. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
32Ramchan Woo, Sungdae Choi, Ju-Ho Sohn, Seong-Jun Song, Young-Don Bae, Hoi-Jun Yoo A low-power graphics LSI integrating 29Mb embedded DRAM for mobile multimedia applications. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
32Bruce L. Jacob A Case for Studying DRAM Issues at the System Level. Search on Bibsonomy IEEE Micro The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
32Michael Redeker, Bruce F. Cockburn, Duncan G. Elliott An Investigation into Crosstalk Noise in DRAM Structures. Search on Bibsonomy MTDT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
32Doris Keitel-Schulz, Norbert Wehn Embedded DRAM Development: Technology, Physical Design, and Application Issues. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
32Mill-Jer Wang, R.-L. Jiang, J.-W. Hsia, Chih-Hu Wang, Jwu E. Chen Guardband Determination for the Detection of Off-State and Junction Leakages in DRAM Testing. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
32Junji Ogawa, Mark Horowitz A 64Mbit Mesochronous Hybrid Wave Pipelined Multibank DRAM Macro. Search on Bibsonomy Intelligent Memory Systems The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
32Jörg Hilgenstock, Klaus Herrmann 0002, Peter Pirsch Memory Organization of a Single-Chip Video Signal Processing System with Embedded DRAM. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
32Preeti Ranjan Panda, Nikil D. Dutt, Alexandru Nicolau Incorporating DRAM access modes into high-level synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
32Norman Margolus An FPGA architecture for DRAM-based systolic computations. Search on Bibsonomy FCCM The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
29Engin Ipek, Jeremy Condit, Edmund B. Nightingale, Doug Burger, Thomas Moscibroda Dynamically replicated memory: building reliable systems from nanoscale resistive memories. Search on Bibsonomy ASPLOS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF write endurance, phase-change memory
29Doe Hyun Yoon, Mattan Erez Virtualized and flexible ECC for main memory. Search on Bibsonomy ASPLOS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fault tolerance, reliability, error correction, memory systems
29Dmitry G. Korzun, Andrei V. Gurtov A local equilibrium model for P2P resource ranking. Search on Bibsonomy SIGMETRICS Perform. Evaluation Rev. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
29Sipat Triukose, Zhihua Wen, Michael Rabinovich Content delivery networks: how big is big enough? Search on Bibsonomy SIGMETRICS Perform. Evaluation Rev. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
29Alma Riska, Erik Riedel Evaluation of disk-level workloads at different time scales. Search on Bibsonomy SIGMETRICS Perform. Evaluation Rev. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
29Wangyuan Zhang, Tao Li 0006 Characterizing and mitigating the impact of process variations on phase change based memory systems. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF process variation, memory system, phase change memory
29Hui Zeng, Matt T. Yourst, Kanad Ghose, Dmitry V. Ponomarev MPTLsim: a simulator for X86 multicore processors. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF simulator, microprocessor, coherent cache
29Sundar Iyer, Ramana Rao Kompella, Nick McKeown Designing packet buffers for router linecards. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF hit-rate, line-card, cache, memory hierarchy, router, switches, packet buffer
29Major Bhadauria, Sally A. McKee Optimizing thread throughput for multithreaded workloads on memory constrained CMPs. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF performance, efficiency, power, memory bandwidth
29Marius Grannæs, Magnus Jahre, Lasse Natvig Low-cost open-page prefetch scheduling in chip multiprocessors. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29Taewhan Kim, Jungeun Kim Integration of Code Scheduling, Memory Allocation, and Array Binding for Memory-Access Optimization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Raju Rangaswami, Zoran Dimitrijevic, Edward Y. Chang, Klaus E. Schauser Building MEMS-based storage systems for streaming media. Search on Bibsonomy ACM Trans. Storage The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Storage architecture, multidisk storage, streaming media, I/O scheduling, MEMS-based storage
29Angel Dominguez, Nghi Nguyen, Rajeev Barua Recursive function data allocation to scratch-pad memory. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF profile dependance, embedded systems, compiler, memory allocation, recursive functions, scratch-pad memory
29Tomas Henriksson, Pieter van der Wolf, Axel Jantsch, Alistair C. Bruce Network Calculus Applied to Verification of Memory Access Performance in SoCs. Search on Bibsonomy ESTIMedia The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Bryan Black, Murali Annavaram, Ned Brekelbaum, John DeVale, Lei Jiang, Gabriel H. Loh, Don McCaule, Patrick Morrow, Donald W. Nelson, Daniel Pantuso, Paul Reed, Jeff Rupley, Sadasivan Shankar, John Paul Shen, Clair Webb Die Stacking (3D) Microarchitecture. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Taeho Kgil, Trevor N. Mudge FlashCache: a NAND flash memory file cache for low power web servers. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF full-system, server platforms, simulation, embedded system, low power, flash memory, web server, application-specific architectures
29Kun-Bin Lee, Tzu-Chieh Lin, Chein-Wei Jen An Efficient Quality-Aware Memory Controller for Multimedia Platform SoC. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Kimish Patel, Luca Benini, Enrico Macii, Massimo Poncino Energy-Efficient Value-Based Selective Refresh for Embedded DRAMs. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Ravi K. Venkatesan, Ahmed S. Al-Zawawi, Eric Rotenberg Tapping ZettaRAMTM for Low-Power Memory Systems. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Ju Yeob Kim, Sung Je Hong, Jong Kim 0001 Parallely testable design for detection of neighborhood pattern sensitive faults in high density DRAMs. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Rafal Karakiewicz, Roman Genov Minimal activity mixed-signal VLSI architecture for real-time linear transforms in video. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Jungeun Kim, Taewhan Kim Memory access optimization through combined code scheduling, memory allocation, and array binding in embedded system design. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF scheduling, binding, memory access
29Thomas L. Sterling Towards Memory Oriented Scalable Computer Architecture and High Efficiency Petaflops Computing. Search on Bibsonomy NPC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Graham Kirsch Active Memory: Micron's Yukon. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Jonathan Mangnall, Steven F. Quigley System Level Simulation of a SIMD Active Memory Enhanced PC (Or, Why We Don't Want 100% Bandwidth Utilisation. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Hui Zhang, Pinaki Mazumder, Li Ding 0002, Kyounghoon Yang Performance modeling of resonant tunneling based RAMs. Search on Bibsonomy ISCAS (4) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Koji Inoue, Koji Kai, Kazuaki J. Murakami Performance/Energy Efficiency of Variable Line-Size Caches for Intelligent Memory Systems. Search on Bibsonomy Intelligent Memory Systems The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
29Hak-soo Yu, Songjun Lee, Jacob A. Abraham An Adder Using Charge Sharing and its Application in DRAMs. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
29Erik G. Hallnor, Steven K. Reinhardt A fully associative software-managed cache design. Search on Bibsonomy ISCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
29Chung-Yu Wu, Yu-Yee Liow A new dynamic ternary sense amplifier for 1.5-bit/cell multi-level low-voltage CMOS DRAMs. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
29Heath A. James, Kenneth A. Hawick Remote Application Scheduling on Metacomputing Systems. Search on Bibsonomy HPDC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
29Philip Machanick, Pierre Salverda, Lance Pompe Hardware-Software Trade-Offs in a Direct Rambus Implementation of the RAMpage Memory Hierarchy. Search on Bibsonomy ASPLOS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
29Thomas Alexander, Gershon Kedem Distributed Prefetch-buffer/Cache Design for High-Performance Memory Systems. Search on Bibsonomy HPCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Prefetch-buffer, cache, prediction, memory
29Leonidas I. Kontothanassis, Rabin A. Sugumar, Greg Faanes, James E. Smith 0001, Michael L. Scott Cache performance in vector supercomputers. Search on Bibsonomy SC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
29Hongzhong Zheng, Zhichun Zhu Power and Performance Trade-Offs in Contemporary DRAM System Designs for Multicore Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF DRAM systems, performance, power, Multicore processors
29Fei Wu 0005, Xiang Chen, Jiguang Wan Cache Blocks: An Efficient Scheme for Solid State Drives without DRAM Cache. Search on Bibsonomy NAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Dirty Data, Random write, Power concumption, Comsumer electronics, SRAM, DRAM, Cache Blocks
29Chung-Hsiang Lin, Chia-Lin Yang, Ku-Jei King PPT: joint performance/power/thermal management of DRAM memory for multi-core systems. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF page allocation, scheduling, power, temperature, DRAM memory
29Zaid Al-Ars, Said Hamdioui, Georgi Gaydadjiev Optimizing Test Length for Soft Faults in DRAM Devices. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF DRAM testing, test length optimization, circuit design, memory layout, delay time, soft faults
29Yong-Ha Park, Jeonghoon Kook, Hoi-Jun Yoo Embedded DRAM (eDRAM) Power-Energy Estimation for System-on-a-Chip (SoC) Applications. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF power estimation, embedded DRAM
29Ashley Saulsbury, Su-Jaen Huang, Fredrik Dahlgren Efficient management of memory hierarchies in embedded DRAM systems. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1999 DBLP  DOI  BibTeX  RDF cache, latency, memory hierarchy, processor, DRAM, COMA
26Chris Wilkerson, Alaa R. Alameldeen, Zeshan Chishti, Wei Wu 0024, Dinesh Somasekhar, Shih-Lien Lu Reducing cache power with low-cost, multi-bit error-correcting codes. Search on Bibsonomy ISCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF ecc, edram, idle power, idle states, multi-bit ecc, refresh power, vccmin, dram
26Banit Agrawal, Timothy Sherwood High-bandwidth network memory system through virtual pipelines. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF VPNM, bank conflicts, mean time to stall, packet reassembly, virtual pipeline, network, memory, DRAM, universal hashing, memory controller, MTS, packet buffering
26Wenlong Li, Eric Q. Li, Aamer Jaleel, Jiulong Shan, Yurong Chen 0001, Qigang Wang, Ravi R. Iyer 0001, Ramesh Illikkal, Yimin Zhang 0002, Dong Liu, Michael Liao, Wei Wei, Jinhua Du Understanding the Memory Performance of Data-Mining Workloads on Small, Medium, and Large-Scale CMPs Using Hardware-Software Co-simulation. Search on Bibsonomy ISPASS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF DRAM caches, small-scale CMP, medium-scale CMP, large-scale CMP, hardware-software co-simulation, terabyte-level workloads, multithreaded data mining applications, cache design, memory performance, multicore systems, memory system performance
26Zhen Fang 0002, Lixin Zhang 0002, John B. Carter, Ali Ibrahim, Michael A. Parker Active memory operations. Search on Bibsonomy ICS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF distributed shared memory, cache coherence, stream processing, DRAM, memory performance, thread synchronization
26Ibrahim Hur, Calvin Lin Adaptive History-Based Memory Schedulers for Modern Processors. Search on Bibsonomy IEEE Micro The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Memory schedulers, IBM Power5, processors, DRAM, memory bandwidth
26Koichi Sato, Brian L. Evans, J. K. Aggarwal Designing an Embedded Video Processing Camera Using a 16-bit Microprocessor for a Surveillance System. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF temporal spatio-velocity transform, embedded system, interaction, tracking, video, recognition, microprocessor, surveillance, DRAM, velocity, Ptolemy
26Zaid Al-Ars, Ad J. van de Goor Static and Dynamic Behavior of Memory Cell Array Spot Defects in Embedded DRAMs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF dynamic faulty behavior, functional fault models, defect simulation, spot defects, Embedded DRAM, fault primitives
26Brian R. Gaeke, Parry Husbands, Xiaoye S. Li, Leonid Oliker, Katherine A. Yelick, Rupak Biswas Memory-Intensive Benchmarks: IRAM vs. Cache-Based Machines. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF memory intensive benchmarks, data parallelism, vector processor, Processor-in-Memory, embedded DRAM
26Kiyoo Itoh 0001 Low-voltage memories for power-aware systems. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF DRAM and SRAM cells, gain cells, gate-source/substrate-source back-biasing, memory-rich architectures, multi-Vr, non-volatile RAMs, on-chip voltage converters, peripheral circuits, subthreshold current, testing
26Jörg E. Vollrath, Ulf Lederer, Thomas Hladschik Compressed Bit Fail Maps for Memory Fail Pattern Classification. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF bit fail map, compressed bit fail map, catch ram, memory, DRAM
26Preeti Ranjan Panda, Francky Catthoor, Nikil D. Dutt, Koen Danckaert, Erik Brockmeyer, Chidamber Kulkarni, Arnout Vandecappelle, Per Gunnar Kjeldsberg Data and memory optimization techniques for embedded systems. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF data optimization, memory architecture customization, memory power dissipation, high-level synthesis, survey, SRAM, allocation, data cache, DRAM, register file, architecture exploration, code transformation, address generation, size estimation
26Mark Brehob, Richard J. Enbody The Potential of Carbon-Based Memory Systems. Search on Bibsonomy MTDT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF nanotube, buckyball, nanomemory, carbon, memory, nanotechnology, DRAM, RAM
26Preeti Ranjan Panda, Nikil D. Dutt, Alexandru Nicolau Exploiting off-chip memory access modes in high-level synthesis. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF High Level Synthesis, DRAM, Memory Synthesis
25Yuxuan Qin, Chuxiong Lin, Weifeng He, Yanan Sun 0003, Zhigang Mao, Mingoo Seok CDAR-DRAM: Enabling Runtime DRAM Performance and Energy Optimization via In-Situ Charge Detection and Adaptive Data Restoration. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
25Ataberk Olgun, Hasan Hassan, Abdullah Giray Yaglikçi, Yahya Can Tugrul, Lois Orosa 0001, Haocong Luo, Minesh Patel, Oguz Ergin, Onur Mutlu DRAM Bender: An Extensible and Versatile FPGA-Based Infrastructure to Easily Test State-of-the-Art DRAM Chips. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
25Ranyang Zhou, Sabbir Ahmed, Arman Roohi, Adnan Siraj Rakin, Shaahin Angizi DRAM-Locker: A General-Purpose DRAM Protection Mechanism against Adversarial DNN Weight Attacks. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
25Hasan Hassan Improving DRAM Performance, Reliability, and Security by Rigorously Understanding Intrinsic DRAM Operation. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
25Jung-Won Han, S. H. Park, M. Y. Jeong, K. S. Lee, K. N. Kim, H. J. Kim, J. C. Shin, S. M. Park, S. H. Shin, S. W. Park, J. H. Lee, S. H. Kim, B. C. Kim, M. H. Jung, I. Y. Yoon, H. Kim, S. U. Jang, K. J. Park, Y. K. Kim, I. G. Kim, J. H. Oh, S. Y. Han, B. S. Kim, B. J. Kuh, J. M. Park Ongoing Evolution of DRAM Scaling via Third Dimension -Vertically Stacked DRAM -. Search on Bibsonomy VLSI Technology and Circuits The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
25Mayank Kabra, Prashanth H. C., Kedar Deshpande, Madhav Rao HIE-DRAM: High Performance Efficient In-DRAM Computing Architecture for SIMD. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
25Wenjing Jin 0001, Wonsuk Jang, Haneul Park, Jongsung Lee 0001, Soosung Kim 0001, Jae W. Lee DRAM Translation Layer: Software-Transparent DRAM Power Savings for Disaggregated Memory. Search on Bibsonomy ISCA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 2246 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license