The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "IRPS"( http://dblp.L3S.de/Venues/IRPS )

URL (DBLP): http://dblp.uni-trier.de/db/conf/irps

Publication years (Num. hits)
2015 (174) 2018 (172) 2019 (171) 2020 (183) 2021 (141) 2022 (174) 2023 (186)
Publication types (Num. hits)
inproceedings(1194) proceedings(7)
Venues (Conferences, Journals, ...)
IRPS(1201)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 1201 publication records. Showing 1201 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Javier Martín-Martínez, Javier Diaz-Fortuny, Pablo Saraza-Canflanca, Rosana Rodríguez, Rafael Castro-López, Elisenda Roca, Francisco V. Fernández 0001, Montserrat Nafría Challenges and solutions to the defect-centric modeling and circuit simulation of time-dependent variability. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Zhan Gao, Francesca Chiocchetta, Fabiana Rampazzo, Carlo De Santi, Mirko Fornasier, Gaudenzio Meneghesso, Matteo Meneghini, Enrico Zanoni Thermally-activated failure mechanisms of 0.25 \ \mu \mathrm{m}$ RF AIGaN/GaN HEMTs submitted to long-term life tests. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1H. Takahashi, Y. Okamoto, Toshiki Hamada, Yusuke Komura, S. Watanabe, K. Tsuda, H. Sawai, Takanori Matsuzaki, Yoshinori Ando, Tatsuya Onuki, Hitoshi Kunitake, Shunpei Yamazaki, D. Kobayashi, A. Ikuta, Takahiro Makino, Takeshi Ohshima Soft- and Hard-Error Radiation Reliability of 228 KB $3\mathrm{T}+1\mathrm{C}$ Oxide Semiconductor Memory. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yoni Xiong, Yueh Chiang, Nicholas J. Pieper, Dennis R. Ball, Bharat L. Bhuva Soft Error Rate Predictions for Terrestrial Neutrons at the 3-nm Bulk FinFET Technology. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Patrick M. Lenahan, E. B. Frantz, Sean W. King, Mark A. Anders 0002, S. J. Moxim, James P. Ashton, Kyle J. Myers, M. E. Flatté, N. J. Harmon Near Zero Field Magnetoresistance Spectroscopy: A New Tool in Semiconductor Reliability Physics. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1 IEEE International Reliability Physics Symposium, IRPS 2023, Monterey, CA, USA, March 26-30, 2023 Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Luca Laurin, Matteo Baldo, Elisa Petroni, Giulia Samanni, Lorenzo Turconi, A. Motta, Massimo Borghi, A. Serafini, D. Codegoni, M. Scuderi, S. Ran, A. Claverie, Daniele Ielmini, Roberto Annunziata, Andrea Redaelli Unveiling Retention Physical Mechanism of Ge-rich GST ePCM Technology. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Lina Qu, Shengwei Yang, Ming He, Rui Fang, Xiaojuan Zhu, Kun Han, Yi He Polarity Dependency and 1/E Model of Gate Oxide TDDB Degradation in 3D NAND. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Rahim Kasim, Cheyun Lin, Christopher Perini, James Palmer, N. Gilda, S. Imam, Justin R. Weber, C. Wallace, Jeffery Hicks Reliability Modeling of Middle-Of-Line Interconnect Dielectrics in Advanced process nodes. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Maximilian W. Feil, Katja Waschneck, Hans Reisinger, Judith Berens, Thomas Aichinger, Paul Salmen, Gerald Rescher, Wolfgang Gustin, Tibor Grasser Towards Understanding the Physics of Gate Switching Instability in Silicon Carbide MOSFETs. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Takuya Wadatsumi, Kohei Kawai, Rikuu Hasegawa, Kazuki Monta, Takuji Miki, Makoto Nagata Characterization of Backside ESD Impacts on Integrated Circuits. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Dongyoung Kim, Skylar DeBoer, Stephen A. Mancini, Sundar Babu Isukapati, Justin Lynch, Nick Yun, Adam J. Morgan, Seung Yup Jang, Woongje Sung Static, Dynamic, and Short-circuit Characteristics of Split-Gate 1.2 kV 4H-SiC MOSFETs. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Joseph B. Bernstein, Emmanuel Bender, Alain Bensoussan 0002 The Correct Hot Carrier Degradation Model. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Akira Goda, Kishore Kumar Muchherla, Peter Feeley Reliability of 3D NAND Flash for Future Storage Systems (Invited). Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Fengkai Liu, Lei Wu, Kai Wang, Enhao Guan, Xingji Li Effect of Precursor Defects in Oxide Layer on Ionizing Radiation Damage of Bipolar Junction Transistors. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Anand Kumar Rai, Harsha B. Variar, Mayank Shrivastava Circuit Reliability of $\text{MoS}_{2}$ Channel Based 2D Transistors. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Y. L. Chou, W. J. Tsai, G. W. Wu, W. Chang, T. C. Lu, K. C. Chen, C. Y. Lu A Novel Data Recovery Technique for 3D TLC NAND Flash Memory Using Intercell Program. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Seongkyung Kim, Hyerim Park, Eunyu Choi, Young Han Kim, Dahyub Kim, Hyewon Shim, Shin-Young Chung, Paul Jung Reliability Assessment of 3nm GAA Logic Technology Featuring Multi-Bridge-Channel FETs. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Joost Melai, V. Subramanian, I. Pouwel Impact of Process Variation on MIM Capacitor Lifetime. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Ayumu Yamada, Naoko Misawa, Chihiro Matsui, Ken Takeuchi ReRAM CiM Fluctuation Pattern Classification by CNN Trained on Artificially Created Dataset. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Stéphane Moreau, David Bouchu, J. Jourdon, Bassel Ayoub, S. Lhostis, Hélène Frémont, P. Lamontagne Recent Advances on Electromigration in Cu/SiO2 to Cu/SiO2 Hybrid Bonds for 3D Integrated Circuits. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Satendra Kumar Gautam, Harsha B. Variar, Juan Luo, Ning Shi, David Marreiro, Shekar Mallikarjunaswamy, Mayank Shrivastava 3D Approaches to Engineer Holding Voltage of SCR. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Vladislav A. Vashchenko, H. Sarbishaei Voltage Acceleration of Power NLDMOS Hot Carrier Degradation. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Hsi-Yu Kuo, Yu-Lin Chu, Hung-Da Dai, Chun-Chi Wang, Pei-Jung Lin, Ethan Guo, Yu-Ti Su, Chia-Lin Hsu, Kuan-Hung Chen, Tsung-Yuan Chen, Ryan Lu, Victor Liang, Kuo-Ji Chen, Kejun Xia Protection Schemes for Plasma Induced Damage from Well-Side Antennas. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1B. Tolleson, Christopher H. Bennett, T. Patrick Xiao, Donald Wilson, Jesse Short, J. Kim, David R. Hughart, Nad Gilbert, Sapan Agarwal, Hugh J. Barnaby, Matthew J. Marinella TID Response of an Analog In-Memory Neural Network Accelerator. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Sunil Rathore, Rajeewa Kumar Jaisawal, P. N. Kondekar, Navneet Gandhi, Shashank Banchhor, Young Suh Song, Navjeet Bagga Self-Heating Aware Threshold Voltage Modulation Conforming to Process and Ambient Temperature Variation for Reliable Nanosheet FET. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Chi-Wei Wang, Che-Pei Chang, Chang-Chun Lee Demonstration on Warpage Estimation Approach Utilized in Fan-Out Panel-Level Packaging Enabled by Multi-Scale Process-Oriented Simulation. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Y. H. Liu, T. C. Zhan, Y. S. Yang, C. C. Hsu, A. C. Liu, W. Lin Impact of Trapped Charge Vertical Loss and Lateral Migration on Lifetime Estimation of 3-D NAND Flash Memories. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Ivana Kovacevic-Badstuebner, Salvatore Race, Ulrike Grossner, Elena Mengotti, Christoph Kenel, Enea Bianda, Joni P. A. Jormanainen Extended Analysis of Power Cycling Behavior of TO-Packaged SiC Power MOSFETs. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yong Hyeon Yi, Chris H. Kim, Chen Zhou, Armen Kteyan, Valeriy Sukharev Studying the Impact of Temperature Gradient on Electromigration Lifetime Using a Power Grid Test Structure with On-Chip Heaters. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1E. Murakami, T. Takeshita, K. Oda, M. Kobayashi, K. Asayama, M. Okamoto Classification of Commercial SiC-MOSFETs Based on Time-Dependent Gate-current Characteristics. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1S. Lee, N.-H. Lee, K. W. Lee, J. H. Kim, J. H. Jin, Y. S. Lee, Y. C. Hwang, H. S. Kim, S. Pae Development and Product Reliability Characterization of Advanced High Speed 14nm DDR5 DRAM with On-die ECC. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Limeng Shi, Shengnan Zhu, Jiashu Qian, Michael Jin, Monikuntala Bhattacharya, Marvin H. White, Anant K. Agarwal, Atsushi Shimbori, Tianshi Liu Investigation of different screening methods on threshold voltage and gate oxide lifetime of SiC Power MOSFETs. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Daniel Christopher Worledge Write-error-rate of Spin-Transfer-Torque MRAM (Invited). Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Md. Asaduz Zaman Mamun, Amar Mavinkurve, Michiel van Soestbergen, Muhammad Ashraful Alam Transient Leakage Current as a Non-destructive Probe of Wire-bond Electrochemical Failures. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Tidjani Garba-Seybou, Xavier Federspiel, Frederic Monsieur, Mathieu Sicre, Florian Cacho, Joycelyn Hai, Alain Bravaix Location of Oxide Breakdown Events under Off-state TDDB in 28nm N-MOSFETs dedicated to RF applications. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Elisa Vitanza, C. Realmuto, M. La Marca, L. Torrisi Backside Failure Analysis of IGBT power devices assembled in STPAK. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Anirban Bandyopadhyay Differentiated Silicon Technologies for mmwave 5G and 6G applications (Invited). Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Tomasz Brozek, Alberto A. P. Cattaneo, Larg Weiland, Michele Quarantelli, Alberto Coccoli, Sharad Saxena, Christopher Hess, Andrzej J. Strojwas In-Product BTI Aging Sensor for Reliability Screening and Early Detection of Material at Risk. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1M. Jamil, S. Mukhopadhay, M. Ghoneim, A. Shailos, Chetan Prasad, Inanc Meric, Stephen Ramey Reliability Studies on Advanced FinFET Transistors of the Intel 4 CMOS Technology. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Erik Bury, Michiel Vandemaele, Jacopo Franco, Adrian Chasin, Stanislav Tyaginov, A. Vandooren, Romain Ritzenthaler, Hans Mertens, Javier Diaz-Fortuny, N. Horiguchi, Dimitri Linten, Ben Kaczer Reliability challenges in Forksheet Devices: (Invited Paper). Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Davide Favero, A. Cavaliere, Carlo De Santi, Matteo Borga, W. Gonçalez Filho, Karen Geens, Benoit Bakeroot, Stefaan Decoutere, Gaudenzio Meneghesso, Enrico Zanoni, Matteo Meneghini High- Temperature PBTI in Trench-Gate Vertical GaN Power MOSFETs: Role of Border and Semiconductor Traps. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Harsh Raj, Vipin Joshi, Rajarshi Roy Chaudhuri, Rasik Rashid Malik, Mayank Shrivastava Physical Insights into the DC and Transient Reverse Bias Reliability of β-Ga2O3 Based Vertical Schottky Barrier Diodes. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Hui Wang, Pengyu Lai, Zhong Chen Current Injection Effect on ESD Behaviors of the Parasitic Bipolar Transistors inside P+/N-well diode. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Y. H. Lin, C. C. Lee, C. Y. Liao, M. H. Lin, W. C. Tu, Robin Chen, H. P. Chen, Winston S. Shue, Min Cao A Novel Methodology to Predict Process-Induced Warpage in Advanced BEOL Interconnects. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1D. Lipp, Z. Zhao, G. Krause, Wafa Arfaoui, Elodie Ebrard, Germain Bossu, S. Evseev, Markus Herklotz, Mahesh Siddabathula Excellent Reliability performances of a truly 5V nBOXFET for Automotive and IOT applications. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Valentina Meli, Gabriele Navarro, J. Rottner, Niccolo Castellani, S. Martin, N. P. Tran, Guillaume Bourgeois, C. Sabbione, Marie Claire Cyrille Multi Level Cell Reliability in Ge-rich GeSbTe-based Phase Change Memory Arrays. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Mehak Ashraf Mir, Vipin Joshi, Rajarshi Roy Chaudhuri, Mohammad Ateeb Munshi, Rasik Rashid Malik, Mayank Shrivastava Dynamic Interplay of Surface and Buffer Traps in Determining Drain Current Injection induced Device Instability in OFF-state of AlGaN/GaN HEMTs. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1A. S. Saleh, Houman Zahedmanesh, Hajdin Ceric, Ingrid De Wolf, Kris Croes Impact of via geometry and line extension on via-electromigration in nano-interconnects. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Andrea Vici, Robin Degraeve, Philippe J. Roussel, Jacopo Franco, Ben Kaczer, Ingrid De Wolf Analysis of TDDB lifetime projection in low thermal budget HfO2/SiO2 stacks for sequential 3D integrations. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1S. Mukhopadhyay, C. Chen, M. Jamil, Jihan Standfest, Inanc Meric, Balkaran Gill, Stephen Ramey A Unified Aging Model Framework Capturing Device to Circuit Degradation for Advance Technology Nodes. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Victor M. van Santen, Jose M. Gata-Romero, Juan Núñez 0002, Rafael Castro-López, Elisenda Roca, Hussam Amrouch Characterizing BTI and HCD in 1.2V 65nm CMOS Oscillators made from Combinational Standard Cells and Processor Logic Paths. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Dishant Sangani, Javier Diaz-Fortuny, Erik Bury, Ben Kaczer, Georges G. E. Gielen The Role of Mobility Degradation in the BTI-Induced RO Aging in a 28-nm Bulk CMOS Technology: (Student paper). Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Melina Lofrano, Herman Oprins, Xinyue Chang, Bjorn Vermeersch, Olalla Varela Pedreira, Alicja Lesniewska, Vladimir Cherman, Ivan Ciofi, Kristof Croes, Seongho Park, Zsolt Tokei Towards accurate temperature prediction in BEOL for reliability assessment (Invited). Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yujie Zhou, David LaFonteese, Elyse Rosenbaum Collector Engineering of ESD PNP in BCD Technologies. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Matchima Buddhanoy, Biswajit Ray Electrostatic Shielding of NAND Flash Memory from Ionizing Radiation. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yaru Ding, Xinwei Yu, Chu Yan, Zeping Weng, Yiming Qu, Yi Zhao Interval time dependent wake-up effect of HfZrO ferroelectric capacitor. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Houman Zahedmanesh, Philippe Roussel, Ivan Ciofi, Kristof Croes A pragmatic network-aware paradigm for system-level electromigration predictions at scale. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jounghun Park, Gilsang Yoon, Donghyun Go, Donghwi Kim, Ukju An, Jongwoo Kim, Jungsik Kim, Jeong-Soo Lee Decomposition of Vertical and Lateral Charge Loss in Long-term Retention of 3-D NAND Flash Memory. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Rashmi Saikia, Aseer Ansari, Souvik Mahapatra A Physics-based Model for Long Term Data Retention Characteristics in 3D NAND Flash Memory. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1C. Doyen, V. Yon, Xavier Garros, Luigi Basset, Tadeu Mota Frutuoso, C. Dagon, Cheikh Diouf, X. Federspiel, V. Millon, Frederic Monsieur, C. Pribat, David Roy 0001 Insight Into HCI Reliability on I/O Nitrided Devices. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Manisha Sharma, Hokyung Park, Yinghong Zhao, Ki-Don Lee, Liangshan Chen, Joonah Yoon, Rakesh Ranjan, Caleb Dongkyan Kwon, Hyewon Shim, Myungsoo Yeo, Shin-Young Chung, Jon Haefner Polarity Dependency of MOL-TDDB in FinFET. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Brian T. McGowan, Michal Rakowski, Seungman Choi Nickel Silicide Electromigration on Micro Ring Modulators for Silicon Photonics Technology. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Klodjan Bidaj, Lauriane Gateka, Benjamin Ardaillon Innovative reliability solution for WLCSP packages. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Nicholas J. Pieper, Yoni Xiong, Dennis R. Ball, J. Pasternak, Bharat L. Bhuva Effects of Collected Charge and Drain Area on SE Response of SRAMs at the 5-nm FinFET Node. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Edward Van Brunt, Daniel J. Lichtenwalner, J. H. Park, Satyaki Ganguly, J. W. McPherson Lifetime Modeling of the 4H-SiC MOS Interface in the HTRB Condition Under the Influence of Screw Dislocations. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1A. Thiessen, M. Haack, Markus Herklotz Silicon based degradation model for various types of highly integrated MOL resistor devices. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Pablo Saraza-Canflanca, Javier Diaz-Fortuny, Andrea Vici, Erik Bury, Robin Degraeve, Ben Kaczer Using dedicated device arrays for the characterization of TDDB in a scaled HK/MG technology. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Akhil S. Kumar, Michael J. Uren, Matthew D. Smith 0003, Martin Kuball, Justin Parke, H. George Henry, Robert S. Howell Dielectric Thickness and Fin Width Dependent OFF-State Degradation in AlGaN/GaN SLCFETs. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Marcello Cioni, G. Giorgino, Alessandro Chini, Carmine Miccoli, Maria Eloisa Castagna, M. Moschetti, C. Tringali, Ferdinando Iucolano Evidence of Carbon Doping Effect on VTH Drift and Dynamic-RON of 100V p-GaN Gate AlGaN/GaN HEMTs. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Wonju Sung, Hyun Seung Kim, Jung Hoon Han, Seguen Park, Jeonghoon Oh, Hyodong Ban, Jooyoung Lee Investigation on NBTI Control Techniques of HKMG Transistors for Low-power DRAM applications. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Harsha B. Variar, Satendra Kumar Gautam, Ashita Kumar, K. M. Amogh, Juan Luo, Ning Shi, David Marreiro, Shekar Mallikarjunaswamy, Mayank Shrivastava Engineering Custom TLP I-V Characteristic Using a SCR-Diode Series ESD Protection Concept. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Xinwei Yu, Chu Yan, Yaru Ding, Yiming Qu, Yi Zhao GHz AC to DC TDDB Modeling with Defect Accumulation Efficiency Model. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Viktor Dudash, Kashi Vishwanath Machani, Bjoern Boehme, Simone Capecchi, Jungtae Ok, Karsten Meier, Frank Kuechenmeister, Marcel Wieland, Karlheinz Bock Wafer Level Chip Scale Package Failure Mode Prediction using Finite Element Modeling. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1F. Serra Di Santa Maria, Francis Balestra, Christoforos G. Theodorou, Gérard Ghibaudo, Cezar B. Zota, Eunjung Cha Experimental Study of Self-Heating Effect in InGaAs HEMTs for Quantum Technologies Down to 10K. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Kuo-Yu Hsiang, Jia-Yang Lee, Z.-F. Lou, F.-S. Chang, Z.-X. Li, C. W. Liu, T.-H. Hou, P. Su, Min-Hung Lee Cryogenic Endurance of Anti-ferroelectric and Ferroelectric Hf1-xZrXO2 for Quantum Computing Applications. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Gennadi Bersuker, E. Tang, Dmitry Veksler Signal duration sensitive degradation in scaled devices. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Nagothu Karmel Kranthi, Yang Xiu, Yang Xiao, Rajkumar Sankaralingam Current Scalability Issues in Multi-Bank 5V PMOS ESD structures: Root cause and Design Guideline. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jorge Mendoza, Jimmy-Bao Le, Choong-Un Kim, Hung-Yun Lin Advanced Methods of Detecting Physical Damages in Packaging and BEOL Interconnects. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Shinwoo Jeong, Jin-Seong Lee, Jiuk Jang, Jooncheol Kim, Hyunsu Shin, Jihun Kim, Jeongwoo Song, Dongsoo Woo, Jeonghoon Oh, Jooyoung Lee Investigation of Sub-20nm 4th generation DRAM cell transistor's parasitic resistance and scalable methodology for Sub-20nm era. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Lorenzo Benatti, Sara Vecchi, Milan Pesic, Francesco Maria Puglisi The Role of Defects and Interface Degradation on Ferroelectric HZO Capacitors Aging. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yuya Aoki, Tatsuya Iwata, Takuji Miki, Kazutoshi Kobayashi, Takefumi Yoshikawa A 13-bit Radiation-Hardened SAR-ADC with Error Correction by Adaptive Topology Transformation. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Om Prakash 0007, Kai Ni 0004, Hussam Amrouch Monolithic 3D Integrated BEOL Dual-Port Ferroelectric FET to Break the Tradeoff Between the Memory Window and the Ferroelectric Thickness. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1M. Monishmurali, Nagothu Karmel Kranthi, Gianluca Boselli, Mayank Shrivastava Impact of Thin-oxide Gate on the On-Resistance of HV-PNP Under ESD Stress. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Andrea Padovani, Paolo La Torraca, Jack Strand, Alexander L. Shluger, Valerio Milo, Luca Larcher Towards a Universal Model of Dielectric Breakdown. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Sruthi M. P, Md. Asaduz Zaman Mamun, Deleep R. Nair, Anjan Chakravorty, Nandita DasGupta, Amitava DasGupta, Muhammad Ashraful Alam Cross-coupled Self-Heating and Consequent Reliability Issues in GaN-Si Hetero-integration: Thermal Keep-Out-Zone Quantified. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Ernest Y. Wu, Baozhen Li Quantum Mechanical Connection of Schottky Emission Process and Its implications on Breakdown Methodology and Conduction Modeling for BEOL Low-k Dielectrics. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Zheng Ke, Sachin Goyal, Solomon Arputharaj, Wendy Wee Yee Lau, Tan Tam Lyn, Lim Dau Fatt, Pandurangan Madhavan, Chandrasekar Venkataramani Pre-O2 treatment for LNA gate oxide leakage improvement. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Marcello Cioni, Nicolò Zagni, Alessandro Chini Fe-Traps Influence on Time-dependent Breakdown Voltage in 0.1-μm GaN HEMTs for 5G Applications. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1R. Zhang, J. Liu, Q. Li, S. Pidaparthi, A. Edwards, C. Drowley, Y. Zhang Vertical GaN Fin JFET: A Power Device with Short Circuit Robustness at Avalanche Breakdown Voltage. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Quan Tran, Ronald Gayhardt, Tin Nguyen 0003, Arif Zaman Recent US West Coast Wildfire Disasters: Impact on the Reliability Assessment of Optical Transceivers. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Daniel Beckmeier, Jifa Hao, Jake Choi, Matt Ring Revealing stresses for plasma induced damage detection in thick oxides. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1A. Viegas, K. Falidas, T. Ali, Kati Kühnel, R. Hoffmann, Clemens Mart, M. Czernohorsky, J. Heitmann Reliability of Ferroelectric and Antiferroelectric Si: HfO2 materials in 3D capacitors by TDDB studies. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Md. Asaduz Zaman Mamun, Muhammad Ashraful Alam Reduced Relative Humidity (RH) Enhances the Corrosion-Limited Lifetime of Self-Heated IC: Peck's equation Generalized. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ikuo Suda, Ryo Kishida, Kazutoshi Kobayashi An Aging Degradation Suppression Scheme at Constant Performance by Controlling Supply Voltage and Body Bias in a 65 nm Fully-Depleted Silicon-On-Insulator Process. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jae-Gyung Ahn, Jim Wesselkamper, Ryan S. W. Baek, Ping-Chin Yeh, Jonathan Chang, Jennifer Wong, Xin Wu Reliability Analysis of Physically Unclonable Function by Using Aging Variability Simulation. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Armen Kteyan, Valeriy Sukharev, Y. Yi, Chris H. Kim Novel methodology for temperature-aware electromigration assessment in on-chip power grid: simulations and experimental validation (Invited). Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Aarti Rathi, Abhisek Dixit, P. Srinivasan 0002, Oscar Huerta-Gonzalez, Fernando Guarin RF Reliability of CMOS-Based Power Amplifier Cell for 5G mmWave Applications. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1H. Zheng, Y. S. Sun, J. L. Huang Impact of TSV on TDDB Performance of Neighboring FinFET with HK/IL Gate Stacking. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Alexander Hirler, Ulrich Abelein, M. Büttner, Ricarda Fischbach, Göran Jerke, Andreas Krinke, S. Simon Mission Profile Clustering Using a Universal Quantile Criterion. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 1201 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license