The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for adder with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1956-1965 (16) 1966-1978 (17) 1979-1985 (18) 1986-1988 (22) 1989-1990 (18) 1991-1992 (17) 1993 (18) 1994-1995 (37) 1996 (28) 1997 (35) 1998 (34) 1999 (51) 2000 (29) 2001 (59) 2002 (63) 2003 (88) 2004 (70) 2005 (112) 2006 (121) 2007 (110) 2008 (107) 2009 (60) 2010 (49) 2011 (54) 2012 (52) 2013 (56) 2014 (63) 2015 (85) 2016 (69) 2017 (83) 2018 (77) 2019 (83) 2020 (94) 2021 (86) 2022 (68) 2023 (93) 2024 (15)
Publication types (Num. hits)
article(887) inproceedings(1269) phdthesis(1)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 786 occurrences of 438 keywords

Results
Found 2158 publication records. Showing 2157 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
43Ashis Kumer Biswas, Md. Mahmudul Hasan, Moshaddek Hasan, Ahsan Raja Chowdhury, Hafiz Md. Hasan Babu A Novel Approach to Design BCD Adder and Carry Skip BCD Adder. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
43Hafiz Md. Hasan Babu, Ahsan Raja Chowdhury Design of a Reversible Binary Coded Decimal Adder by Using Reversible 4-Bit Parallel Adder. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
43John P. Fishburn A Depth-Decreasing Heuristic for Combinational Logic: Or How To Convert a Ripple-Carry Adder Into A Carry-Lookahead Adder Or Anything in-between. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
41Liang-Kai Wang, Michael J. Schulte, John D. Thompson, Nandini Jairam Hardware Designs for Decimal Floating-Point Addition and Related Operations. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
41Ajay Kumar Verma, Philip Brisk, Paolo Ienne Variable Latency Speculative Addition: A New Paradigm for Arithmetic Circuit Design. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
41Sreehari Veeramachaneni, Kirthi M. Krishna, Lingamneni Avinash, Reddy Puppala Sreekanth, M. B. Srinivas Novel, High-Speed 16-Digit BCD Adders Conforming to IEEE 754r Format. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
41Jiajia Chen 0002, Chip-Hong Chang, A. Prasad Vinod 0001 Design of High-speed, Low-power FIR Filters with Fine-grained Cost Metrics. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
41Shaoqiang Bi, Warren J. Gross, Wei Wang 0003, Asim J. Al-Khalili, M. N. S. Swamy An Area-Reduced Scheme for Modulo 2n-1 Addition/Subtraction. Search on Bibsonomy IWSOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
41Ramin Rafati, A. Z. Charaki, G. R. Chaji, Seid Mehdi Fakhraie, Kenneth Carless Smith Comparison of a 17 b multiplier in Dual-rail domino and in Dual-rail D3L (D4L) logic styles. Search on Bibsonomy ISCAS (3) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
41Gin Yee, Carl Sechen Clock-delayed domino for dynamic circuit design. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
41José Fernández Ramos, Alfonso Gago Bohórquez Two Operand Binary Adders with Threshold Logic. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF neural networks, logic design, computer arithmetic, Threshold logic, threshold gate, binary adders
40Somayeh Hossein Zadeh, Trond Ytterdal, Snorre Aunet Ultra-Low Voltage Subthreshold Binary Adder Architectures for IoT Applications: Ripple Carry Adder or Kogge Stone Adder. Search on Bibsonomy NORCAS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
38Toshinori Sato, Shingo Watanabe Instruction Scheduling for Variation-Originated Variable Latencies. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF variable latency adder, long latency adder, instruction criticality, microprocessors, parameter variations
38Wen-Chang Yeh, Chein-Wei Jen Generalized Earliest-First Fast Addition Algorithm. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Carry-propagation adder, final adder, conditional-sum, carry-lookahead
38Mallika De, Bhabani P. Sinha Testing of a parallel ternary multiplier using I2L logic. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF integrated injection logic, parallel ternary multiplier, I/sup 2/L logic, multivalued I/sup 2/L circuits, input balanced ternary full adder, precarry generator, multivalued current inputs, multivalued current outputs, generated test sets, skew fault, fault diagnosis, logic testing, design for testability, logic design, digital arithmetic, fault location, stuck-at fault, generalized model, adders, adder, multiplying circuits, multivalued logic circuits, test sets, parallel multiplier
38P. Balasubramanian 0001, David A. Edwards, Charlie Brej Self-timed full adder designs based on hybrid input encoding. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
38Vahid Moalemi, Ali Afzali-Kusha Subthreshold 1-Bit Full Adder Cells in sub-100 nm Technologies. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
38Amir Agah, Seid Mehdi Fakhraie, Azita Emami-Neyestanak Tertiary-Tree 12-GHz 32-bit Adder in 65nm Technology. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
38John Moskal, Erdal Oruklu, Jafar Saniie Design and Synthesis of a Carry-Free Signed-Digit Decimal Adder. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
38Massimo Alioto, Gaetano Palumbo High-Speed/Low-Power Mixed Full Adder Chains: Analysis and Comparison versus Technology. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
38Guy Even On Teaching Fast Adder Designs: Revisiting Ladner & Fischer. Search on Bibsonomy Essays in Memory of Shimon Even The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
38V. S. Kanchana Bhaaskaran, S. Salivahanan, D. S. Emmanuel Semi-Custom Design of Adiabatic Adder Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
38Sheng Sun, Yi Han, Xinyu Guo, Kian Haur Chong, Larry McMurchie, Carl Sechen 409ps 4.7 FO4 64b Adder Based on Output Prediction Logic in 0.18um CMOS. Search on Bibsonomy ISVLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
38Yajuan He, Chip-Hong Chang, Jiangmin Gu An area efficient 64-bit square root carry-select adder for low power applications. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
38Ilham Hassoune, Amaury Nève, Jean-Didier Legat, Denis Flandre Investigation of Low-Power Low-Voltage Circuit Techniques for a Hybrid Full-Adder Cell. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
38Peter Celinski, Sorin Cotofana, Derek Abbott A-DELTA: A 64-bit High Speed, Compact, Hybrid Dynamic-CMOS/Threshold-Logic Adder. Search on Bibsonomy IWANN (2) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
38Hiroaki Suzuki, Woopyo Jeong, Kaushik Roy 0001 Low Power Adder with Adaptive Supply Voltage. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
38Mingyan Zhang, Jiangmin Gu, Chip-Hong Chang A novel hybrid pass logic with static CMOS output drive full-adder cell. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
38Mohammed Sayed, Wael M. Badawy Performance analysis of single-bit full adder cells using 0.18, 0.25, and 0.35 µm CMOS technologies. Search on Bibsonomy ISCAS (3) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
38Daniel Marienfeld, Egor S. Sogomonyan, Vitalij Ocheretnij, Michael Gössel A New Self-Checking Code-Disjoint Carry-Skip Adder. Search on Bibsonomy IOLTW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
38Javier D. Bruguera, Tomás Lang Multilevel Reverse-Carry Adder. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
38Robin R.-B. Sheen, S. Wang, Oscal T.-C. Chen, Ruey-Liang Ma Power consumption of a 2's complement adder minimized by effective dynamic data ranges. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
38James Jacob, P. Srinivas Sivakumar, Vishwani D. Agrawal Adder and Comparator Synthesis with Exclusive-OR Transform of Inputs. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
36Mateus Fonseca, Eduardo A. C. da Costa, Sergio Bampi, José Monteiro 0001 Design of a radix-2m hybrid array multiplier using carry save adder format. Search on Bibsonomy SBCCI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF hybrid multiplier, low power, carry save adder
36Yiran Chen 0001, Hai Li 0001, Kaushik Roy 0001, Cheng-Kok Koh Cascaded carry-select adder (C2SA): a new structure for low-power CSA design. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF low-power, carry-select adder
36Mário C. B. Osorio, Carlos A. Sampaio, André Inácio Reis, Renato P. Ribas Enhanced 32-bit carry lookahead adder using multiple output enable-disable CMOS differential logic. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF ECDL, CMOS, adder, digital circuits
36Kuo-Hsing Cheng, Shun-Wen Cheng, Chan-Wei Huang 64-bit Hybrid Dual-Threshold Voltage Power-Aware Conditional Carry Adder Design. Search on Bibsonomy IWSOC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF conditional carry, hybrid dual-threshold voltage, CMOS, VLSI design, Adder
36Alejandro F. González, Mayukh Bhattacharya, Shriram Kulkarni, Pinaki Mazumder Standard CMOS Implementation of a Multiple-Valued Logic Signed-Digit Adder Based on Negative Differential-Resistance Devices. Search on Bibsonomy ISMVL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF signed-digit adder, negative differential-resistance devices, NDR devices, multiple-valued logic, resonant-tunneling diodes, redundant number systems, RTDs
36Naofumi Takagi, Takashi Horiyama A High-Speed Reduced-Size Adder Under Left-to-Right Input Arrival. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF on-the-fly conversion, adder, Arithmetic circuit, divider
36D. V. Poornaiah, P. V. Ananda Mohan A novel VLSI concurrent dual multiplier-dual adder architecture for image and video coding applications. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF concurrent dual multiplier-dual adder architecture, video coding applications, high-throughput image coding, carry-save 4:2 compressors, computational complexity, VLSI, VLSI, data compression, video coding, adders, computation time, multiplying circuits, digital signal processing chips
32Alexandru Amaricai, Mircea Vladutiu, Lucian Prodan, Mihai Udrescu, Oana Boncalo Exploiting Parallelism in Double Path Adders' Structure for Increased Throughput of Floating Point Addition. Search on Bibsonomy DSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
32Himanshu Thapliyal, A. Prasad Vinod 0001 Designing Efficient Online Testable Reversible Adders With New Reversible Gate. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
32Gian Carlo Cardarilli, Marco Ottavi, Salvatore Pontarelli, Marco Re, Adelio Salsano Localization of Faults in Radix-n Signed Digit Adders. Search on Bibsonomy IOLTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Peter-Michael Seidel, Guy Even Delay-Optimized Implementation of IEEE Floating-Point Addition. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF IEEE rounding, dual path algorithm, optimized gate sizing, buffer insertion, delay optimization, logical effort, Floating-point addition
32William N. N. Hung, Xiaoyu Song, Guowu Yang, Jin Yang 0006, Marek A. Perkowski Quantum logic synthesis by symbolic reachability analysis. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF model checking, formal verification, quantum computing, satisfiability, reversible logic
32Oscal T.-C. Chen, Sandy Wang, Yi-Wen Wu Minimization of switching activities of partial products for designing low-power multipliers. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
32Bibhudatta Sahoo 0002, Keshab K. Parhi A Low Power Correlator for CDMA Wireless Systems. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF low-power, correlator, CDMA, incrementer
32Whitney J. Townsend, Jacob A. Abraham, Earl E. Swartzlander Jr. Quadruple Time Redundancy Adders. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
32Hoang Q. Dao, Vojin G. Oklobdzija Performance Comparison of VLSI Adders Using Logical Effort. Search on Bibsonomy PATMOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
32Peter-Michael Seidel, Guy Even On the Design of Fast IEEE Floating-Point Adders. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
32Bibhudatta Sahoo 0002, Martin Kuhlmann, Keshab K. Parhi A low-power correlator. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
32Bernd Becker 0001, Rolf Drechsler, Sudhakar M. Reddy (Quasi-) Linear Path Delay Fault Tests for Adders. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
32Bernd Becker 0001 Efficient Testing of Optimal Time Adders (Extended Abstract). Search on Bibsonomy MFCS The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
31Robert T. Grisamore, Earl E. Swartzlander Jr. Negative Save Sign Extension for Multi-term Adders and Multipliers. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF adder trees, multi-term adders, two’s complement arithmetic, sign extension, multipliers
31Mohammad D. Mottaghi, Ali Afzali-Kusha, Zainalabedin Navabi ByZFAD: a low switching activity architecture for shift-and-add multipliers. Search on Bibsonomy SBCCI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF adder bypass, byZFAD, hot-block ring counter, shiftand-add multiplier, low-power, switching activity
31Bong-Il Park, In-Cheol Park, Chong-Min Kyung A Regular Layout Structured Multiplier Based on Weighted Carry-Save Adders. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Booth algorithm, Carry-Save Adder and Wallace Tree, Multiplier
30Stamatis Vassiliadis, James Phillips, Bart Blaner Interlock Collapsing ALU's. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF interlocked fixed point arithmetic logic unit, unsigned binary, binary logical operations, single instruction execution, machine cycle, architectural compatibility, parallel processing, digital arithmetic, adders, CMOS integrated circuits, CMOS technology, carry-save adder, carry-lookahead adder, two's complement
30Bernd Becker 0001 Efficient Testing of Optimal Time Adders. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF optimal time adders, conditional sum adder, VLSI, logic testing, adders, integrated logic circuits, VLSI chip, carry look-ahead adder
30Antonis M. Paschalis, Dimitris Nikolos, Constantine Halatsis Efficient Modular Design of TSC Checkers for M-out-of-2M Codes. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF half-adder, VLSI MOS implementation, logic design, trees, codes, codes, adders, modular design, totally self-checking checkers, full-adder
29Alireza Namazi, Seyed Ghassem Miremadi, Alireza Ejlali A High Speed and Low Cost Error Correction Technique for the Carry Select Adder. Search on Bibsonomy ARES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
29Jie Shao, Ning Ye, Xiao-Yan Zhang An IEEE Compliant Floating-Point Adder with the Deeply Pipelining Paradigm on FPGAs. Search on Bibsonomy CSSE (4) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29Eduardo Mesquita, Helen Franck, Luciano Volcan Agostini, José Luís Güntzel RIC Fast Adder and its Set Tolerant Implementation in FPGAs. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Dinesh Patil, Omid Azizi, Mark Horowitz, Ron Ho, Rajesh Ananthraman Robust Energy-Efficient Adder Topologies. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Jon Alfredsson, Snorre Aunet, Bengt Oelmann Small Fan-in Floating-Gate Circuits with Application to an Improved Adder Structure. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Keivan Navi, Omid Kavehei, Mahnoush Rouholamini, Amir Sahafi, Shima Mehrabi A Novel CMOS Full Adder. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Massimo Alioto, Gaetano Palumbo Impact of Supply Voltage Variations on Full Adder Delay: Analysis and Comparison. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Naofumi Homma, Takafumi Aoki, Tatsuo Higuchi 0001 Algorithm-level interpretation of fast adder structures in binary and multiple-valued logic. Search on Bibsonomy ISMVL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Henning Gundersen, Yngvar Berg A Novel Balanced Ternary Adder Using Recharged Semi-Floating Gate Devices. Search on Bibsonomy ISMVL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Douglas L. Maskell, Jussipekka Leiwo, Jagdish Chandra Patra The design of multiplierless FIR filters with a minimum adder step and reduced hardware complexity. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Ali Malik, Seok-Bum Ko A Study on the Floating-Point Adder in FPGAS. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Neil Burgess Prenormalization rounding in IEEE floating-point operations using a flagged prefix adder. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Neil Burgess New Models of Prefix Adder Topologies. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF prefix addition, absolute difference, VLSI, delay model, idempotency
29Stefania Perri, Pasquale Corsonello, Giuseppe Cocorullo Fast Low-Power 64-Bit Modular Hybrid Adder. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Xinyu Guo, Carl Sechen High Speed Redundant Adder and Divider in Output Prediction Logic. Search on Bibsonomy ISVLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Arjun K. Pai, Khaled Benkrid, Danny Crookes Embedded Reconfigurable DCT Architectures Using Adder-Based Distributed Arithmetic. Search on Bibsonomy CAMP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Chi Huang, Xinyu Wu, Jinmei Lai, Chengshou Sun, Gang Li A design of high speed double precision floating point adder using macro modules. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Song Peng, Rajit Manohar Fault Tolerant Asynchronous Adder through Dynamic Self-reconfiguration. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Toshiro Akino, Kei Matsuura, Akiyoshi Yasunaga A high-speed domino CMOS full adder driven by a new unified-BiCMOS inverter. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29John D. Thompson, Nandini Karra, Michael J. Schulte A 64-bit Decimal Floating-Point Adder. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Aamir A. Farooqui, Vojin G. Oklobdzija, Sadiq M. Sait Area-time optimal adder with relative placement generator. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Amaury Nève, Denis Flandre, Helmut Schettler, Thomas Ludwig 0004, Gerhard Hellner Design of a branch-based 64-bit carry-select adder in 0.18 µm partially depleted SOI CMOS. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF SOI technology, logic design styles, circuit Design
29Andrew Beaumont-Smith, Cheng-Chew Lim Parallel Prefix Adder Design. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
29Henrik Eriksson, Per Larsson-Edefors, Atila Alvandpour A 2.8 ns 30 uW/MHz area-efficient 32-b Manchester carry-bypass adder. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
29Oscar Gustafsson, Henrik Ohlsson, Lars Wanhammar Minimum-adder integer multipliers using carry-save adders. Search on Bibsonomy ISCAS (2) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
29Vitalij Ocheretnij, Michael Gössel, Egor S. Sogomonyan Code-Disjoint Carry-Dependent Sum Adder with Partial Look-Ahead. Search on Bibsonomy IOLTW The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
29Monica Alderighi, Sergio D'Angelo, Giacomo R. Sechi, Cecilia Metra Novel Fault-Tolerant Adder Design for FPGA-Based Systems. Search on Bibsonomy IOLTW The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
29Sheng Sun, Larry McMurchie, Carl Sechen A High-Performance 64-bit Adder Implemented in Output Prediction Logic. Search on Bibsonomy ARVLSI The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
29Asger Munk Nielsen, David W. Matula, Chung Nan Lyu, Guy Even An IEEE Compliant Floating-Point Adder that Conforms with the Pipelined Packet-Forwarding Paradigm. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF IEEE floating-point rounding, Floating-point arithmetic, redundant number representations, floating-point addition
29Pasquale Corsonello, Stefania Perri, Giuseppe Cocorullo VLSI Implementation of a Low-Power High-Speed Self-Timed Adder. Search on Bibsonomy PATMOS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
29Ahmed M. Shams, Magdy A. Bayoumi Performance evaluation of 1-bit CMOS adder cells. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
29Philippe Royannez, Amara Amara A 1.0ns 64-bits GaAs Adder using Quad tree algorithm. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
29Vojin G. Oklobdzija, David Villeger Improving multiplier design by using improved column compression tree and optimized final adder in CMOS technology. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
29Stefan Gerber 0002, Michael Gössel Detection of Permanent Hardware Faults of a Floating Point Adder by Pseudoduplication. Search on Bibsonomy EDCC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
28Hung-Yu Wang, Chun-Wei Chiu, Hung-Yuan Tseng, Hsieh-Wei Lee Design of Low Adder Cost FIR Digital Filters Using Graph Representation. Search on Bibsonomy ICGEC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF Adder cost, Digital filter, Graph representation
28Gang Chen 0004, Feng Liu 0029 Proofs of Correctness and Properties of Integer Adder Circuits. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF adder circuits, Formal method, computer arithmetic
28Lihui Ni, Zhijin Guan, Wenying Zhu A General Method of Constructing the Reversible Full-Adder. Search on Bibsonomy IITSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF reversible full-adder, reversible gates, gate count, garbage outputs
28Ching-Hwa Cheng, Chiou-Kou Tung, Shao-Hui Shieh, Yu-Cherng Hung Design High-Performance and Low-Power Adder Cores with Full-Swing Nodes for Embedded Systems. Search on Bibsonomy IIH-MSP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Embedded System, Low Power, Adder
28Ohsang Kwon, Earl E. Swartzlander Jr., Kevin J. Nowka A fast hybrid carry-lookahead/carry-select adder design. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2001 DBLP  DOI  BibTeX  RDF CMOS, domino logic, carry lookahead adder
28Ranjeet Ranade, Sanjay Bhandari, A. N. Chandorkar VLSI Implementation of Artificial Neural Network Based Digital Multiplier and Adder. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Digital Multiplier and Adder, VLSI Implementation of Neural Networks, Artificial Neural Networks (ANN)
28John J. Shedletsky Comment on the Sequential and Indeterminate Behavior of an End-Around-Carry Adder. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1977 DBLP  DOI  BibTeX  RDF End-around-carry (EAC) adder, negative zero, one's complement arithmetic
Displaying result #101 - #200 of 2157 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license