The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for BIST with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1985-1988 (24) 1989-1990 (20) 1991 (15) 1992 (24) 1993 (25) 1994 (35) 1995 (56) 1996 (51) 1997 (71) 1998 (81) 1999 (84) 2000 (122) 2001 (115) 2002 (121) 2003 (126) 2004 (134) 2005 (114) 2006 (89) 2007 (69) 2008 (72) 2009 (47) 2010 (43) 2011 (34) 2012 (27) 2013 (50) 2014 (34) 2015 (33) 2016-2017 (34) 2018 (22) 2019-2020 (27) 2021-2022 (25) 2023 (17) 2024 (2)
Publication types (Num. hits)
article(532) incollection(2) inproceedings(1304) phdthesis(5)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1729 occurrences of 545 keywords

Results
Found 1860 publication records. Showing 1843 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
37Jerzy J. Dabrowski BiST Model for IC RF-Transceiver Front-End. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
37Graham Hetherington, Richard Simpson Circular BIST testing the digital logic within a high speed Serdes. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
37Xiaoding Chen, Michael S. Hsiao Characteristic faults and spectral information for logic BIST. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
37Ganapathy Kasturirangan, Michael S. Hsiao Spectrum-Based BIST in Complex SOCs. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
37Hung-Kai Chen 0001, Chih-Hu Wang, Chau-Chin Su A Self Calibrated ADC BIST Methodology. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
37Marcelino B. Santos, Isabel C. Teixeira, João Paulo Teixeira 0001, Salvador Manich, Rosa Rodríguez-Montañés, Joan Figueras RTL Level Preparation of High-Quality/Low-Energy/Low-Power BIST. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
37Ken-ichi Yamaguchi, Hiroki Wada, Toshimitsu Masuzawa, Hideo Fujiwara BIST Method Based on Concurrent Single-Control Testability of RTL Data Paths. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF RTL data path, single-control testability, built-in self-test, design for testability, concurrent test, hierarchical test
37Mohammad H. Tehranipour, Zainalabedin Navabi, Seid Mehdi Fakhraie An efficient BIST method for testing of embedded SRAMs. Search on Bibsonomy ISCAS (5) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
37Farzin Karimi, Fabrizio Lombardi Parallel Testing of Multi-port Static Random Access Memories for BIST. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Memory testing, embedded memory, multi-port, parallel testing
37Patrick Girard 0001, Loïs Guiller, Christian Landrault, Serge Pravossoudovitch, Hans-Joachim Wunderlich A Modified Clock Scheme for a Low Power BIST Test Pattern Generator. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
37Pramodchandran N. Variyam, Abhijit Chatterjee Digital-Compatible BIST for Analog Circuits Using Transient Response Sampling. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
37Dimitris Gizopoulos, Nektarios Kranitis, Mihalis Psarakis, Antonis M. Paschalis, Yervant Zorian Effective Low Power BIST for Datapaths. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
37Jayabrata Ghosh-Dastidar, Nur A. Touba A Rapid and Scalable Diagnosis Scheme for BIST Environments with a Large Number of Scan Chains. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF built-in self-test Scan Chains, Design-for-Diagnosis, Multi-Input Signature Register, Design-for-Testability, LFSR, Integrated Circuits, Integrated Circuits, Digital Testing, Design-for-Debug
37Frank Mayer, Albrecht P. Stroele A Versatile BIST Technique Combining Test Registers and Accumulators. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF test register, built-in self-test, register-transfer level, accumulator
37Antonis M. Paschalis, Nektarios Kranitis, Mihalis Psarakis, Dimitris Gizopoulos, Yervant Zorian An Effective BIST Architecture for Fast Multiplier Cores. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
37Nicola Nicolici, Bashir M. Al-Hashimi Efficient BIST Hardware Insertion with Low Test Application Time for Synthesized Data Paths. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
37Iyad Rayane, Jaime Velasco-Medina, Michael Nicolaidis A One-Bit-Signature BIST for Embedded Operational Amplifiers in Mixed-Signal Circuits Based on the Slew-Rate Detection. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
37Sybille Hellebrand, Hans-Joachim Wunderlich, Vyacheslav N. Yarmolik Symmetric Transparent BIST for RAMs. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
37Vyacheslav N. Yarmolik, I. V. Bykov, Sybille Hellebrand, Hans-Joachim Wunderlich Transparent Word-Oriented Memory BIST Based on Symmetric March Algorithms. Search on Bibsonomy EDCC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
37Hyunjin Kim, Jongchul Shin, Sungho Kang 0001 An Efficient Interconnect Test Using BIST Module in a Boundary-Scan Environment. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
37Jaime Velasco-Medina, Iyad Rayane, Michael Nicolaidis On-Line BIST for Testing Analog Circuits. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
37Xiaowei Li 0001, Paul Y. S. Cheung Exploiting Test Resource Optimization in Data Path Synthesis for BIST. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
37Chih-Ang Chen, Sandeep K. Gupta Efficient BIST TPG design and test set compaction via input reduction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
37Madhavi Karkala, Nur A. Touba, Hans-Joachim Wunderlich Special ATPG to Correlate Test Patterns for Low-Overhead Mixed-Mode BIST. Search on Bibsonomy Asian Test Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
37Xiaowei Li 0001, Paul Y. S. Cheung Exploiting BIST Approach for Two-Pattern Testing. Search on Bibsonomy Asian Test Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
37Srinivas Devadas, Kurt Keutzer An algorithmic approach to optimizing fault coverage for BIST logic synthesis. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
37Zhe Zhao, Bahram Pouya, Nur A. Touba BETSY: synthesizing circuits for a specified BIST environment. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
37Huan-Chih Tsai, Sudipta Bhawmik, Kwang-Ting Cheng An almost full-scan BIST solution-higher fault coverage and shorter test application time. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
37Indradeep Ghosh, Niraj K. Jha, Sudipta Bhawmik A BIST Scheme for RTL Controller-Data Paths Based on Symbolic Testability Analysis. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
37Ishwar Parulkar, Sandeep K. Gupta 0001, Melvin A. Breuer Introducing Redundant Computations in a Behavior for Reducing BIST Resources. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
37Debaleena Das, Mark G. Karpovsky Exhaustive and Near-Exhaustive Memory Testing Techniques and their BIST Implementations. Search on Bibsonomy J. Electron. Test. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF exhaustive codes, near-exhaustive codes, built-in self-test, memory testing, pattern sensitive faults
37Dariusz Badura, Andrzej Hlawiczka Low Cost Bist for Edac Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF CBIST, EDAC, error aliasing, fault coverage, self-test
37Chen-Huan Chiang, Sandeep K. Gupta 0001 BIST TPGs for Faults in Board Level Interconnect via Boundary Scan. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
37Debesh Kumar Das, Susanta Chakraborty, Bhargab B. Bhattacharya New BIST Techniques for Universal and Robust Testing of CMOS Stuck-Open Faults. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
37Abu S. M. Hassan, Vinod K. Agarwal, Benoit Nadeau-Dostie, Janusz Rajski BIST of PCB interconnects using boundary-scan architecture. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
37Kwanghyun Kim, Joseph G. Tront, Dong Sam Ha BIDES: A BIST design expert system. Search on Bibsonomy J. Electron. Test. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF knowledge-based expert system, Built-in self-test, design for testability, pseudorandom testing
36Wu-Tung Cheng Current status and future trend on CAD tools for VLSI testing Wu-Tung Cheng. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF test logic, deep Sub-Micron technologies, scan-based ATPG, test application cost, test development, VLSI, CAD, logic testing, built-in self test, system on chip, SoC, automatic test pattern generation, automatic test pattern generation, ATPG, BIST, VLSI design, integrated circuit design, circuit CAD, VLSI testing, embedded memories, test quality, integrated circuit economics
36Vikram Iyengar, Krishnendu Chakrabarty, Brian T. Murray Deterministic Built-in Pattern Generation for Sequential Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Comma coding, pattern decoding, statistical encoding, BIST, Huffman coding, run-length encoding, embedded-core testing, sequential circuit testing
36Ondrej Novák Pseudorandom, Weighted Random and Pseudoexhaustive Test Patterns Generated in Universal Cellular Automata. Search on Bibsonomy EDCC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF linear cyclic codes, hardware test pattern generators, weighted random testing, Cellular automata, BIST, linear feedback shift registers, pseudoexhaustive testing
36Ivo Schanstra, Dharmajaya Lukita, Ad J. van de Goor, Kees Veelenturf, Paul J. van Wijnen Semiconductor manufacturing process monitoring using built-in self-test for embedded memories. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF diagnosis, BIST, fault localization, process monitoring, bitmap, RAM testing, microcode
36Yuejian Wu, Sanjay Gupta Built-In Self-Test for Multi-Port RAMs. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Random Access Memory (RAM) test, multi-port RAM test, Built-In Self-Test (BIST)
34Gert Jervan, Petru Eles, Zebo Peng, Raimund Ubar, Maksim Jenihhin Test Time Minimization for Hybrid BIST of Core-Based Systems. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF hybrid BIST, SoC, self-test
34Luís Rolíndez, Salvador Mir, Ahcène Bounceur, Jean-Louis Carbonéro A BIST Scheme for SNDR Testing of SigmaDelta ADCs Using Sine-Wave Fitting. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF analogue BIST, analogue-to-digital converter, mixed-signal testing, sigma-delta modulation
34Swaroop Ghosh, Swarup Bhunia, Arijit Raychowdhury, Kaushik Roy 0001 Delay Fault Localization in Test-Per-Scan BIST Using Built-In Delay Sensor. Search on Bibsonomy IOLTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Test-per-scan BIST, delay sensor, fault diagnosis, fault localization, test point insertion
34Ismet Bayraktaroglu, Alex Orailoglu The Construction of Optimal Deterministic Partitionings in Scan-Based BIST Fault Diagnosis: Mathematical Foundations and Cost-Effective Implementations. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Fault diagnosis, finite field arithmetic, scan-based BIST
34Diego Vázquez, Gloria Huertas, África Luque, Manuel J. Barragan Asian, Gildas Léger, Adoración Rueda, José Luis Huertas Sine-Wave Signal Characterization Using Square-Wave and SigmaDelta-Modulation: Application to Mixed-Signal BIST. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF BIST, analog testing, mixed-signal testing, sigma-delta modulation
34Ilia Polian, Bernd Becker 0001 Scalable Delay Fault BIST for Use with Low-Cost ATE. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF thermal constraints, BIST, SAT, delay testing, IP cores, symbolic methods
34Yuyi Tang, Hans-Joachim Wunderlich, Harald P. E. Vranken, Friedrich Hapke, Michael Wittke, Piet Engelke, Ilia Polian, Bernd Becker 0001 X-Masking During Logic BIST and Its Impact on Defect Coverage. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF X-Masking, Resistive Bridging Faults, Defect Coverage, Logic BIST
34Valentin Gherman, Hans-Joachim Wunderlich, Harald P. E. Vranken, Friedrich Hapke, Michael Wittke, Michael Garbers Efficient Pattern Mapping for Deterministic Logic BIST. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF BDDs, Logic BIST
34Marie-Lise Flottes, Christian Landrault, A. Petitqueux A Unified DFT Approach for BIST and External Test. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF BIST, DFT, test point insertion, partial reset
34Nadir Z. Basturkmen, Sudhakar M. Reddy, Irith Pomeranz A Low Power Pseudo-Random BIST Technique. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF low power, BIST, scan, pseudo-random, peak power
34Peter Wohl, John A. Waicukauski, Sanjay Patel, Minesh B. Amin Efficient compression and application of deterministic patterns in a logic BIST architecture. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF test-generation (ATPG), self-test (BIST)
34Wei Li 0023, Chaowen Yu, Sudhakar M. Reddy, Irith Pomeranz A scan BIST generation method using a markov source and partial bit-fixing. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF testing, BIST, markov
34Marcelo Negreiros, Luigi Carro, Altamiro Amadeu Susin Ultimate low cost analog BIST. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF DSP-based analog test, low cost analog BIST, test of analog circuits
34Magnus Eckersand, Fredrik Franzon, Ken Filliter Using At-Speed BIST to Test LVDS Serializer/Deserializer Function. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF LVDS, BIST, differential, At-speed
34Nan-Cheng Li, Sying-Jyan Wang A Reseeding Technique for LFSR-Based BIST Applications. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Reseedling, LFST, BIST, Test Pattern Generator, Pseudo-Random Testing
34Liviu Miclea, Szilárd Enyedi, Alfredo Benso Itelligent Agents and BIST/BISR - Working Together in Distributed Systems. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF distributed systems BIST, distributed BISR, Intelligent agent, self-repair, embedded testing, high-level testing
34Florence Azaïs, Serge Bernard, Yves Bertrand, Michel Renovell A Low-Cost BIST Architecture for Linear Histogram Testing of ADCs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Built-In Self-Test (BIST), analog and mixed-signal testing, ADC test
34Florence Azaïs, Serge Bernard, Yves Bertrand, Michel Renovell Optimizing Sinusoidal Histogram Test for Low Cost ADC BIST. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Built-In Self-Test (BIST), analog and mixed-signal testing, ADC test
34Stefan Gerstendörfer, Hans-Joachim Wunderlich Minimized Power Consumption for Scan-Based BIST. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF build-in self-test (BIST), power consumption
34S. L. Lin, S. Mourad, S. Krishnan A BIST methodology for at-speed testing of data communications transceivers. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF data communication equipment, telecommunication equipment testing, BIST methodology, data communications transceivers, data communications chip, 3-port IEEE 1394a system, CMOS implementation, 0.35 micron, 400 Mbit/s, built-in self test, integrated circuit testing, automatic testing, functional testing, CMOS integrated circuits, at-speed testing, transceivers
34Patrick Girard 0001, Christian Landrault, Serge Pravossoudovitch, Arnaud Virazel Comparison between Random and Pseudo-Random Generation for BIST of Delay, Stuck-at and Bridging Faults. Search on Bibsonomy IOLTW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF BIST, Random Testing, Delay Testing, Bridging Faults
34Xiaodong Zhang 0010, Kaushik Roy 0001 Peak Power Reduction in Low Power BIST. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF BIST Synthesis, Weighted Random Pattern Generator, Testing, Low Power
34Hans G. Kerkhoff, Mansour Shashaani, Manoj Sachdev A Low-Speed BIST Framework for High-Performance Circuit Testing. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF controlled-delay flip-flops, BIST, Delay-fault testing, design for delay testability
34Laurent Bréhélin, Olivier Gascuel, Gilles Caraux, Patrick Girard 0001, Christian Landrault Hidden Markov and Independence Models with Patterns for Sequential BIST. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Machine Learning, HMM, Sequential Circuit, BIST
34Jeongjin Roh, Jacob A. Abraham A Mixed-Signal BIST Scheme with Time-Division Multiplexing (TDM) Comparator and Counters. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF BIST, Time-division multiplexing, Comparator, Mixed-signal, Signature analyzer
34Gundolf Kiefer, Hans-Joachim Wunderlich Deterministic BIST with Multiple Scan Chains. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF deterministic scan-based BIST, multiple scan paths, parallel scan
34Patrick Girard 0001, Christian Landrault, V. Moreda, Serge Pravossoudovitch, Arnaud Virazel A Scan-BIST Structure to Test Delay Faults in Sequential Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF BIST, delay faults, scan design
34Gundolf Kiefer, Hans-Joachim Wunderlich Deterministic BIST with multiple scan chains. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF deterministic scan-based BIST, multiple scan paths, parallel scan
34Walter W. Weber, Adit D. Singh Incorporating IDDQ Testing with BIST for Improved Coverage: An Experimental Study. Search on Bibsonomy J. Electron. Test. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF open faults, BIST, fault coverage, built in current sensor, BICS, I DDQ
34Michinobu Nakao, Kazumi Hatayama, Isao Higashi Accelerated Test Points Selection Method for Scan-Based BIST. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Test points, Optimization, BIST, Testability
34Kowen Lai, Christos A. Papachristou, Mikhail Baklashov BIST testability enhancement using high level test synthesis for behavioral and structural designs. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF BIST testability, behavioral designs, industrial benchmark, controllability, built-in self test, observability, DFT, transparency, fidelity, structural designs, high level test synthesis
34Meng-Lieh Sheu, Chung-Len Lee 0001 A programmable multiple-sequence generator for BIST applications. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF programmable multiple-sequence generator, BIST applications, two-dimension-like feedback shift register, deterministic sequence, pseudo-random vectors, sequence segmentation method, stuck-open fault testing, logic testing, delays, built-in self test, sequential circuits, shift registers, delay fault testing, binary sequences, sequential circuit testing, regular structure, MCM testing
34Michael Nicolaidis, O. Kebichi, Vladimir Castro Alves Trade-offs in scan path and BIST implementations for RAMs. Search on Bibsonomy J. Electron. Test. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF RAM test algorithms, BIST, Aliasing, signature analysis, scan path, coupling faults
31Kapal Dev, Sunder Ali Khowaja, Ankur Singh Bist, Vaibhav Saini, Surbhi Bhatia Triage of potential COVID-19 patients from chest X-ray images using hierarchical convolutional networks. Search on Bibsonomy Neural Comput. Appl. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
31Sachin Subedi, Ramesh Bist, Xiao Yang, Lilong Chai Tracking pecking behaviors and damages of cage-free laying hens with machine vision technologies. Search on Bibsonomy Comput. Electron. Agric. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
31A. Asha, Ashish Kumar Srivastava, Nitika Vats Doohan, Dharmendra Sharma, Ankur Singh Bist, Rahul Neware, Sarvesh Kumar An optimized DEEC approach for efficient packet transmission in sensor based IoTs network. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
31Prem Singh Bist, Hilal Tayara, Kil To Chong 0001 Sars-escape network for escape prediction of SARS-COV-2. Search on Bibsonomy Briefings Bioinform. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
31Xiao Yang, Haixing Dai, Zihao Wu 0001, Ramesh Bist, Sachin Subedi, Jin Sun, Guoyu Lu, Changying Li, Tianming Liu 0001, Lilong Chai SAM for Poultry Science. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
31Xiao Yang, Ramesh Bist, Sachin Subedi, Zihao Wu 0001, Tianming Liu 0001, Lilong Chai An automatic classifier for monitoring applied behaviors of cage-free laying hens with deep learning. Search on Bibsonomy Eng. Appl. Artif. Intell. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
31Raja Varma Pamba, Rahul Bhandari, A. Asha, Rahul Neware, Ankur Singh Bist Novel Deep Learning Approach to Support Optimal Resource Allocation in 5G Environment. Search on Bibsonomy J. Mobile Multimedia The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
31Raja Varma Pamba, Rahul Bhandari, A. Asha, Ankur Singh Bist An Optimal Resource Allocation in 5G Environment Using Novel Deep Learning Approach. Search on Bibsonomy J. Mobile Multimedia The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
31Uttam Singh Bist, Nanhay Singh Analysis of recent advancements in support vector machine. Search on Bibsonomy Concurr. Comput. Pract. Exp. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
31Namita Behera, Avisek Bist Fiedler Linearizations of Multivariable State-Space System and its Associated System Matrix. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
31Tarushi Agrawal, Priya Bist, Nimit Jain, Parul Agarwal A Hybrid Whale Genetic Algorithm for Feature Selection in Biomedical Dataset. Search on Bibsonomy Int. J. Swarm Intell. Res. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
31Prem Singh Bist, Hilal Tayara, Kil To Chong 0001 Identification Of Sars-cov-2 Viral Escape Sequences Using Escapetrans Network. Search on Bibsonomy ICTC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
31Anshita Makode, Alakananda Chakraborty, Avanti Darekar, Poojakumari Bist Impact Of Covid-19 On Education Using Twitter Data. Search on Bibsonomy SMAP The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
31Anuj Bist, Chetna Singhal 0001 Efficient Immersive Surveillance of Inaccessible Regions using UAV Network. Search on Bibsonomy INFOCOM Workshops The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
31Kapal Dev, Sunder Ali Khowaja, Aman Jaiswal, Ankur Singh Bist, Vaibhav Saini, Surbhi Bhatia Triage of Potential COVID-19 Patients from Chest X-ray Images using Hierarchical Convolutional Networks. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
31Benjamin F. Dribus, A. Sumner, K. Bist, N. Regmi, J. Sircar, S. Upreti Network Horizon Dynamics I: Qualitative Aspects. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
31Sarthak Yadav, Manoj Gupta, Ankur Singh Bist Prediction of Ubiquitination Sites Using UbiNets. Search on Bibsonomy Adv. Fuzzy Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
31Cambodge Bist, Rémi Cozot, Gérard Madec, Xavier Ducloux Tone expansion using lighting style aesthetics. Search on Bibsonomy Comput. Graph. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
31Itti Bist, Kiran Bano, James F. Rusling Screening Genotoxicity Chemistry with Microfluidic Electrochemiluminescent Arrays. Search on Bibsonomy Sensors The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
31Cambodge Bist, Rémi Cozot, Gérard Madec, Xavier Ducloux QoE-based brightness control for HDR displays. Search on Bibsonomy QoMEX The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
31Cambodge Bist, Rémi Cozot, Gérard Madec, Xavier Ducloux Style Aware Tone Expansion for HDR Displays. Search on Bibsonomy Graphics Interface The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
31Bhim Singh 0001, Vashist Bist A BL-CSC Converter-Fed BLDC Motor Drive With Power Factor Correction. Search on Bibsonomy IEEE Trans. Ind. Electron. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
31Vashist Bist, Bhim Singh 0001 A Unity Power Factor Bridgeless Isolated Cuk Converter-Fed Brushless DC Motor Drive. Search on Bibsonomy IEEE Trans. Ind. Electron. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
31Shikha Singh 0008, Bhim Singh 0001, G. Bhuvaneswari, Vashist Bist Power Factor Corrected Zeta Converter Based Improved Power Quality Switched Mode Power Supply. Search on Bibsonomy IEEE Trans. Ind. Electron. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
31Praveen Kumar Singh, Bhim Singh 0001, Vashist Bist, Ambrish Chandra, Kamal Al-Haddad A single sensor based bridgeless landsman PFC converter fed BLDC motor drive. Search on Bibsonomy IAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
31Gary Bist Business process management in a day. Search on Bibsonomy CASCON The full citation details ... 2015 DBLP  BibTeX  RDF
Displaying result #201 - #300 of 1843 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license