The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for RTL with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1960-1989 (15) 1990-1992 (21) 1993-1994 (18) 1995 (20) 1996 (26) 1997 (28) 1998 (38) 1999 (47) 2000 (63) 2001 (57) 2002 (61) 2003 (69) 2004 (93) 2005 (94) 2006 (108) 2007 (135) 2008 (99) 2009 (65) 2010 (30) 2011 (24) 2012 (36) 2013 (28) 2014 (30) 2015 (38) 2016 (29) 2017 (26) 2018 (34) 2019 (40) 2020 (40) 2021 (34) 2022 (38) 2023 (67) 2024 (14)
Publication types (Num. hits)
article(308) book(1) data(2) incollection(3) inproceedings(1246) phdthesis(5)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1091 occurrences of 565 keywords

Results
Found 1565 publication records. Showing 1565 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
25Felipe Machado, Teresa Riesgo, Yago Torroja Disjoint Region Partitioning for Probabilistic Switching Activity Estimation at Register Transfer Level. Search on Bibsonomy PATMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF activity estimation, CAD, VHDL, BDD, power estimation, RTL, Switching activity, circuit partition, digital circuit design
25Aaron N. Ng, Igor L. Markov, Rajat Aggarwal, Venky Ramachandran Solving hard instances of floorplacement. Search on Bibsonomy ISPD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF floorplacement, benchmarks, placement, floorplanning, RTL, circuit layout
25Steven Swanson, Andrew Putnam, Martha Mercaldi, Ken Michelson, Andrew Petersen 0001, Andrew Schwerin, Mark Oskin, Susan J. Eggers Area-Performance Trade-offs in Tiled Dataflow Architectures. Search on Bibsonomy ISCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF WaveScalar, ASIC, RTL, Dataflow computing
25David Brier, Raj S. Mitra Use of C/C++ models for architecture exploration and verification of DSPs. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF simulation, verification, formal, RTL, C/C++
25Simon Fowler 0002, Andy J. Wellings Formal development of a real-time kernel. Search on Bibsonomy RTSS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF formal development, simple real time operating system kernel, restricted Ada 95 tasking model, fixed priority real time systems, abstract specification, PVS proof system, computational model, RTL, operating system kernels
25Jainendra Kumar, Noel R. Strader, Jeff Freeman, Michael Miller Emulation verification of the Motorola 68060. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF emulation verification, Motorola 68060, hardware logic emulation, configurable hardware, circuit verification, pseudo-random verification vectors, software application programs, formal verification, microprocessors, reconfigurable architectures, logic CAD, digital simulation, circuit analysis computing, RTL, hardware description languages, hardware description language, microprocessor chips, HDL, gate-level
24Mehrdad Reshadi, Bita Gorjiara, Daniel Gajski C-based design flow: a case study on G.729A for voice over internet protocol (VoIP). Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF C-based design flow, C-to-RTL, G729A, NISC, HLS, VoIP, ASIP
24Shobha Vasudevan, E. Allen Emerson, Jacob A. Abraham Improved verification of hardware designs through antecedent conditioned slicing. Search on Bibsonomy Int. J. Softw. Tools Technol. Transf. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF LTL property, Antecedent conditioned slicing, Verilog RTL, Model checking, Program slicing, Hardware description languages, Hardware verification
24Peter Yiannacouras, Jonathan Rose, J. Gregory Steffan The microarchitecture of FPGA-based soft processors. Search on Bibsonomy CASES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Nios, RTL generation, SPREE, application specic tradeoff, FPGA, pipeline, exploration, embedded processor, ASIP, microarchitecture, soft processor
24Jiang Chau Wang, Paulo Sérgio Cardoso, Jose Artur Quilici González, Marius Strum, Ricardo Pires Datapath BIST Insertion Using Pre-Characterized Area and Testability Data. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF test library, RTL architecture, pre-computed testability, self-test
24Zaher S. Andraus, Karem A. Sakallah Automatic abstraction and verification of verilog models. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF UCLID, logic of counter arithmetic with lambda expressions and uninter-preted functions (CLU), abstraction, register transfer level (RTL), verilog
24Biplab K. Sikdar, Kolin Paul, Gosta Pada Biswas, Parimal Pal Chaudhuri, Vamsi Boppana, Cliff Yang, Sobhan Mukherjee Theory and Application of GF(2p) Cellular Automata as On-chip Test Pattern Generator. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Extension field, BIST structure, Cellular Automata (CA), VLSI design and RTL, Finite field, DFT, Fault coverage, LFSR
24Sujit Dey, Anand Raghunathan, Kenneth D. Wagner Design for Testability Techniques at the Behavioral and Register-Transfer Levels. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF behavioral synthesis for testability, behavioral synthesis for BIST, high-level test generation, RTL synthesis for testability, design for testability
24Masaru Takesue A tampering protocol for reducing the coherence transactions in regular computation. Search on Bibsonomy ISPAN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF tampering protocol, coherence transactions, regular computation, latency of communication, protocols, multiprocessor, cache-coherence protocol, RTL simulator
24Li-Ren Huang, Jing-Yang Jou, Sy-Yen Kuo, Wen-Bin Liao Easily Testable Data Path Allocation Using Input/Output Registers. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF testable data path allocation, behavioral synthesis systems, input/output registers, interconnection allocation, module allocation, higher fault coverage, lower hardware overhead, improved testability, VLSI synthesis, optimization, algorithms, benchmarks, ATPG, DFT, register allocation, circuit optimisation, RTL design
24Mark Genoe, Paul Vanoostende, Geert van Wauwe On the use of VHDL-based behavioral synthesis for telecom ASIC design. Search on Bibsonomy ISSS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Alcatel-Bell, RTL-synthesizable description, behavioral synthesis tools, hardware CAD tool, system level design methodology, telecom ASIC design, telecom system hardware design, high level synthesis, VHDL, VHDL, application specific integrated circuits, ASIC, logic synthesis, integrated circuit design, hardware description languages, integrated logic circuits, behavioral synthesis, telecommunication computing, hardware software codesign, design complexities
24Seong Yong Ohm, Fadi J. Kurdahi, Nikil D. Dutt, Min Xu A comprehensive estimation technique for high-level synthesis. Search on Bibsonomy ISSS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF HLS benchmarks, RT level components, RTL datapaths, estimation technique, delays, high level synthesis, high-level synthesis, timing, design space exploration, granularity, hardware description languages, data flow graphs, registers, system buses, timing model, buses, behavioral description, layout area
24Miriam Leeser, John W. O'Leary Verification of a subtractive radix-2 square root algorithm and implementation. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF subtractive radix-2 square root, floating point square root hardware, Intel Pentium, radix-2 square root, MIPS R4400, RTL level, verification, formal verification, theorem proving, theorem proving, floating point arithmetic, optimizing transformations
24Graham Schelle, Jamison D. Collins, Ethan Schuchman, Perry H. Wang, Xiang Zou, Gautham N. Chinya, Ralf Plate, Thorsten Mattner, Franz Olbrich, Per Hammarlund, Ronak Singhal, Jim Brayton, Sebastian Steibl, Hong Wang 0003 Intel nehalem processor core made FPGA synthesizable. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF intel nehalem, synthesizable core, fpga, emulator
24Maman Abdurohman, Kuspriyanto, Sarwono Sutikno, Arif Sasongko Transaction Level Modeling for Early Verification on Embedded System Design. Search on Bibsonomy ACIS-ICIS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
24Héctor Navarro, Saeid Nooshabadi, Juan A. Montiel-Nelson, Victor Navarro-Botello, Javier Sosa, José C. García 0001 A geometric approach to register transfer level satisfiability. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
24Suresh Raman, Mike Lubyanitsky Cone Resynthesis ECO Methodology for Multi-Million Gate Designs. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
24Namrata Shekhar, Priyank Kalla, M. Brandon Meredith, Florian Enescu Simulation Bounds for Equivalence Verification of Polynomial Datapaths Using Finite Ring Algebra. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Gaurav Singh 0006, Sandeep K. Shukla Verifying Compiler Based Refinement of BluespecTM. Search on Bibsonomy SPIN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Bluespec System Verilog (BSV), Formal Verification, Hardware Designs, SPIN Model Checker
24J. P. Grossman, John K. Salmon, C. Richard Ho, Doug Ierardi, Brian Towles, Brannon Batson, Jochen Spengler, Stanley C. Wang, Rolf Mueller, Michael Theobald, Cliff Young, Joseph Gagliardo, Martin M. Deneroff, Ron O. Dror, David E. Shaw Hierarchical simulation-based verification of Anton, a special-purpose parallel machine. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Banit Agrawal, Timothy Sherwood, Chulho Shin, Simon Yoon Addressing the Challenges of Synchronization/Communication and Debugging Support in Hardware/Software Cosimulation. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Felice Balarin, Roberto Passerone Specification, Synthesis, and Simulation of Transactor Processes. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Namrata Shekhar, Sudhakar Kalla, Florian Enescu Equivalence Verification of Polynomial Datapaths Using Ideal Membership Testing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Sivaram Gopalakrishnan, Priyank Kalla Optimization of polynomial datapaths using finite ring algebra. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF arithmetic datapaths, finite ring algebra, modulo arithmetic, polynomial datapaths, High-level synthesis
24Hansu Cho, Samar Abdi, Daniel Gajski Interface synthesis for heterogeneous multi-core systems from transaction level models. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF HW-SW co-design, universal bridge, channel, transaction level model, communication synthesis, interface synthesis
24Hiren D. Patel, Sandeep K. Shukla Tackling an abstraction gap: co-simulating SystemC DE with bluespec ESL. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Derek Chiou, Dam Sunwoo, Joonsoo Kim, Nikhil A. Patil, William H. Reinhart, Darrel Eric Johnson, Zheng Xu 0004 The FAST methodology for high-speed SoC/computer simulation. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Himanshu Jain, Daniel Kroening, Natasha Sharygina, Edmund M. Clarke VCEGAR: Verilog CounterExample Guided Abstraction Refinement. Search on Bibsonomy TACAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Hiroyuki Iwata, Tomokazu Yoneda, Hideo Fujiwara A DFT Method for Time Expansion Model at Register Transfer Level. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Nacer-Eddine Zergainoh, Ludovic Tambour, Ahmed Amine Jerraya Automatic delay correction method for IP block-based design of VLSI dedicated digital signal processing systems: theoretical foundations and implementation. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Stefan Andrei, Wei-Ngan Chin, Albert Mo Kim Cheng, Mihai Lupu Automatic Debugging of Real-Time Systems Based on Incremental Satisfiability Counting. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF system development tools, automatic debugging, counting SAT problem, Real-time system, formal methods, timing constraint, incremental computation
24Sébastien Bilavarn, Guy Gogniat, Jean Luc Philippe, Lilian Bossuet Design Space Pruning Through Early Estimations of Area/Delay Tradeoffs for FPGA Implementations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Felice Balarin, Roberto Passerone Functional verification methodology based on formal interface specification and transactor generation. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Anupam Chattopadhyay, B. Geukes, David Kammler, Ernst Martin Witte, Oliver Schliebusch, Harold Ishebabi, Rainer Leupers, Gerd Ascheid, Heinrich Meyr Automatic ADL-based operand isolation for embedded processors. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Hristo Nikolov, Todor P. Stefanov, Ed F. Deprettere Multi-processor system design with ESPAM. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF system-level design, Kahn process networks, heterogeneous MPSoCs
24Andrés Takach, Bryan Bowyer, Thomas Bollaert C Based Hardware Design for Wireless Applications. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Nacer-Eddine Zergainoh, Katalin Popovici, Ahmed Amine Jerraya, Pascal Urard IP-block-based design environment for high-throughput VLSI dedicated digital signal processing systems. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Stefan Andrei, Albert Mo Kim Cheng, Wei-Ngan Chin, Mihai Lupu Systematic Debugging of Real-Time Systems based on Incremental Satisfiability Counting. Search on Bibsonomy IEEE Real-Time and Embedded Technology and Applications Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Mark Litterick, Joachim Geishauser Robust Vera Coding Techniques for Gate-Level and Tester-Compliant SoC Verification Environments. Search on Bibsonomy MTV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Stephen Bailey, Erich Marschner, Jayaram Bhasker, Jim Lewis, Peter J. Ashenden Improving Design and Verification Productivity with VHDL-200x. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Monica Donno, Enrico Macii, Luca Mazzoni Power-aware clock tree planning. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF clock tree synthesis and routing, physical design and optimization, low-power design, digital design
24Narayanan Krishnamurthy, Jayanta Bhadra, Magdy S. Abadir, Jacob A. Abraham Towards The Complete Elimination of Gate/Switch Level Simulations. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Manoj Singh Gaur, Mark Zwolinski Integrating Self Testability with Design Space Exploration by a Controller based Estimation Technique. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Franco Carbognani, Christopher K. Lennard, C. Norris Ip, Allan Cochrane, Paul Bates Qualifying Precision of Abstract SystemC Models Using the SystemC Verification Standard. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
24Ney Laert Vilar Calazans, Edson I. Moreno, Fabiano Hessel, Vitor M. da Rosa, Fernando Moraes 0001, Everton Carara From VHDL Register Transfer Level to SystemC Transaction Level Modeling: A Comparative Case Study. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF transaction level, VHDL, SystemC, System modeling, register transfer level
24Kwangyong Lee, Jeong-Si Kim, Chaedeok Lim, Heung-Nam Kim A Development of Remote Tracepoint Debugger for Run-time Monitoring and Debugging of Timing Constraints on Qplus-P RTOS. Search on Bibsonomy WSTFES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
24Chris Rowen Reducing SoC Simulation and Development Time. Search on Bibsonomy Computer The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
24Reinaldo A. Bergamaschi Bridging the domains of high-level and logic synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
24Indradeep Ghosh, Krishna Sekar, Vamsi Boppana Design for Verification at the Register Transfer Level. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
24Ken C. K. Law, Horace Ho-Shing Ip, Fang Wei An Abstract Layered Model for Hypermedia Document System. Search on Bibsonomy ICMCS, Vol. 2 The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
24Ashutosh Mujumdar, Rajiv Jain, Kewal K. Saluja Incorporating performance and testability constraints during binding in high-level synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
24Wen-Jong Fang, Allen C.-H. Wu A hierarchical functional structuring and partitioning approach for multiple-FPGA implementations. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Multiple-FPGA partitioning, multiple-FPGA synthesis, functional structuring and functional partitioning
24Abhijit Ghosh, Srinivas Devadas, A. Richard Newton Sequential test generation and synthesis for testability at the register-transfer and logic levels. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
24Jung-Hong Kao, Lawrence J. Henschen A Graph Proof Procedure for Real Time Logic. Search on Bibsonomy SEKE The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
23Tomas Pecenka, Lukás Sekanina, Zdenek Kotásek Evolution of synthetic RTL benchmark circuits with predefined testability. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF evolvable hardware, testability analysis, Benchmark circuit
23George Economakos, Sotirios Xydis A Scheduling Postprocessor to Exploit Morphable RTL Components During High-Level Synthesis. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Paul Schumacher, Pradip Jha Fast and accurate resource estimation of RTL-based designs targeting FPGAS. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Saraju P. Mohanty ILP Based Gate Leakage Optimization Using DKCMOS Library during RTL Synthesis. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Pejman Lotfi-Kamran, Mehran Massoumi, Mohammad Mirzaei, Zainalabedin Navabi Enhanced TED: A New Data Structure for RTL Verification. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23David M. Russinoff A Mathematical Approach to RTL Verification. Search on Bibsonomy CAV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Sasidhar Sunkari, Supratik Chakraborty, Vivekananda M. Vedula, Kailasnath Maneparambil A Scalable Symbolic Simulator for Verilog RTL. Search on Bibsonomy MTV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Youssef Serrestou, Vincent Beroulle, Chantal Robach Functional Verification of RTL Designs driven by Mutation Testing metrics. Search on Bibsonomy DSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Bijan Alizadeh, Masahiro Fujita Automatic Merge-Point Detection for Sequential Equivalence Checking of System-Level and RTL Descriptions. Search on Bibsonomy ATVA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Formal Verification, System on a Chip (SoC), Communication System, Canonical Representation, Sequential Equivalence Checking
23Aric D. Blumer, Cameron D. Patterson Hardware/Software Process Migration and RTL Simulation. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Jen-Chieh Ou, Daniel G. Saab, Qiang Qiang, Jacob A. Abraham Reducing verification overhead with RTL slicing. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF verification, test, CAD
23Hannes Muhr, Roland Höler Accelerating RTL Simulation by Several Orders of Magnitude Using Clock Suppression. Search on Bibsonomy ICSAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Felipe Machado, Teresa Riesgo, Yago Torroja A Method for Switching Activity Analysis of VHDL-RTL Combinatorial Circuits. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Domenik Helms, Marko Hoyer, Wolfgang Nebel Accurate PTV, State, and ABB Aware RTL Blackbox Modeling of Subthreshold, Gate, and PN-Junction Leakage. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Jaan Raik, Tanel Nõmmeots, Raimund Ubar A New Testability Calculation Method to Guide RTL Test Generation. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF test pattern generation, register-transfer level, decision diagrams, testability measures
23Masahiro Fujita Extended abstract: a formal design approach from software oriented UML descriptions to hardware oriented RTL. Search on Bibsonomy MEMOCODE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Madhu K. Iyer, Ganapathy Parthasarathy, Kwang-Ting Cheng Efficient Conflict-Based Learning in an RTL Circuit Constraint Solver. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Jae-Gon Lee, Woo-Seung Yang, Young-Su Kwon, Young-Il Kim, Chong-Min Kyung Simulation acceleration of transaction-level models for SoC with RTL sub-blocks. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF SoC, systemc, transaction-level modeling, TLM, simulation acceleration
23Hiroyuki Iwata, Tomokazu Yoneda, Satoshi Ohtake, Hideo Fujiwara A DFT Method for RTL Data Paths Based on Partially Strong Testability to Guarantee Complete Fault Efficiency. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF strong testability, partially strong testability, complete fault efficiency, design-for-testability, data paths
23Oliver Schliebusch, Anupam Chattopadhyay, Ernst Martin Witte, David Kammler, Gerd Ascheid, Rainer Leupers, Heinrich Meyr Optimization Techniques for ADL-Driven RTL Processor Synthesis. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Daniel Große, Rolf Drechsler CheckSyC: an efficient property checker for RTL SystemC designs. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Nobuyuki Nishiguchi An advance RTL to GDS2 design methodology for 90 nm and below system LSIs to solve timing closure, signal integrity and design for manufacturing. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Himanshu Jain, Daniel Kroening, Natasha Sharygina, Edmund M. Clarke Word level predicate abstraction and refinement for verifying RTL verilog. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF SAT, predicate abstraction, verilog
23Alexey Kupriyanov, Frank Hannig, Jürgen Teich High-Speed Event-Driven RTL Compiled Simulation. Search on Bibsonomy SAMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Giuseppe Falconeri, Walid Naifer, Nizar Romdhane Common Reusable Verification Environment for BCA and RTL Models. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Pietro Babighian, Luca Benini, Enrico Macii A Scalable ODC-Based Algorithm for RTL Insertion of Gated Clocks. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Zhiqiang You, Ken-ichi Yamaguchi, Michiko Inoue, Jacob Savir, Hideo Fujiwara Power-Constrained DFT Algorithms for Non-Scan BIST-able RTL Data Paths. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Arnaud Grasset, Frédéric Rousseau 0001, Ahmed Amine Jerraya Network Interface Generation for MPSOC: From Communication Service Requirements to RTL Implementation. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Ho Fai Ko, Nicola Nicolici Functional Illinois Scan Design at RTL. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Tun Li, Yang Guo 0003, Sikun Li An Automatic Circuit Extractor for RTL Verification. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Kartik Mohanram, C. V. Krishna, Nur A. Touba A methodology for automated insertion of concurrent error detection hardware in synthesizable Verilog RTL. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Raik Brinkmann, Rolf Drechsler RTL-Datapath Verification using Integer Linear Programming. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Alessandro Bogliolo, Roberto Corgnati, Enrico Macii, Massimo Poncino Parameterized RTL power models for soft macros. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
23Rajesh Radhakrishnan, Elena Teica, Ranga Vemuri Verification of Basic Block Schedules Using RTL Transformations. Search on Bibsonomy CHARME The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
23Donald S. Gelosh, Dorothy E. Setliff Modeling layout tools to derive forward estimates of area and delay at the RTL level. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF estimation techniques, machine learning, estimation, layout, VLSI CAD
23Crina Anton, Pierluigi Civera, Ionel Colonescu, Enrico Macii, Massimo Poncino, Alessandro Bogliolo RTL Estimation of Steering Logic Power. Search on Bibsonomy PATMOS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
23Luca Benini, Alessandro Bogliolo, Enrico Macii, Massimo Poncino, Mihai Surmei Regression-based RTL power models for controllers. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
23Ricardo Ferreira, Anne-Marie Trullemans, José C. Costa, José Monteiro 0001 Probabilistic Bottom-Up RTL Power Estimation. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Register Tranfers Level, Power Estimation, Glitches, ZBDD
23Hiroki Wada, Toshimitsu Masuzawa, Kewal K. Saluja, Hideo Fujiwara Design for Strong Testability of RTL Data Paths to Provide Complete Fault Efficiency. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Complete fault efficiency, Design for testability, Data path, Hierarchical test
23Jens Horstmannshoff, Heinrich Meyr Efficient building block based RTL code generation from synchronous data flow graphs. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
23Satoshi Ohtake, Michiko Inoue, Hideo Fujiwara A Method of Test Generation for Weakly Testable Data Paths Using Test Knowledge Extracted from RTL Description. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 1565 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license