|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 31234 occurrences of 10156 keywords
|
|
|
Results
Found 51809 publication records. Showing 51802 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
27 | James G. Mitchell |
File Servers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Advanced Course: Local Area Networks ![In: Local Area Networks: An Advanced Course, Glasgow, UK, July 11-22, 1983, Proceedings, pp. 221-259, 1983, Springer, 3-540-15191-5. The full citation details ...](Pics/full.jpeg) |
1983 |
DBLP DOI BibTeX RDF |
|
27 | David Hutchison 0001 |
Access Units. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Advanced Course: Local Area Networks ![In: Local Area Networks: An Advanced Course, Glasgow, UK, July 11-22, 1983, Proceedings, pp. 87-107, 1983, Springer, 3-540-15191-5. The full citation details ...](Pics/full.jpeg) |
1983 |
DBLP DOI BibTeX RDF |
|
27 | Gérard Le Lann |
Synchronization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Advanced Course: Local Area Networks ![In: Local Area Networks: An Advanced Course, Glasgow, UK, July 11-22, 1983, Proceedings, pp. 361-395, 1983, Springer, 3-540-15191-5. The full citation details ...](Pics/full.jpeg) |
1983 |
DBLP DOI BibTeX RDF |
|
27 | A. J. Herbert |
The Cambridge Distributed Computing System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Advanced Course: Local Area Networks ![In: Local Area Networks: An Advanced Course, Glasgow, UK, July 11-22, 1983, Proceedings, pp. 282-312, 1983, Springer, 3-540-15191-5. The full citation details ...](Pics/full.jpeg) |
1983 |
DBLP DOI BibTeX RDF |
|
27 | W. D. Shepherd |
Lan Internetworking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Advanced Course: Local Area Networks ![In: Local Area Networks: An Advanced Course, Glasgow, UK, July 11-22, 1983, Proceedings, pp. 396-427, 1983, Springer, 3-540-15191-5. The full citation details ...](Pics/full.jpeg) |
1983 |
DBLP DOI BibTeX RDF |
|
27 | Gregor von Bochmann |
Specification in Distributed Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Advanced Course: Local Area Networks ![In: Local Area Networks: An Advanced Course, Glasgow, UK, July 11-22, 1983, Proceedings, pp. 470-497, 1983, Springer, 3-540-15191-5. The full citation details ...](Pics/full.jpeg) |
1983 |
DBLP DOI BibTeX RDF |
|
27 | Werner Bux, Felix Closs, Karl Kümmerle, Hans R. Müller |
The Token Ring. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Advanced Course: Local Area Networks ![In: Local Area Networks: An Advanced Course, Glasgow, UK, July 11-22, 1983, Proceedings, pp. 36-63, 1983, Springer, 3-540-15191-5. The full citation details ...](Pics/full.jpeg) |
1983 |
DBLP DOI BibTeX RDF |
|
27 | John F. Shoch, Yogen K. Dalal, David D. Redell, Ronald C. Crane |
The Ethernet. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Advanced Course: Local Area Networks ![In: Local Area Networks: An Advanced Course, Glasgow, UK, July 11-22, 1983, Proceedings, pp. 1-35, 1983, Springer, 3-540-15191-5. The full citation details ...](Pics/full.jpeg) |
1983 |
DBLP DOI BibTeX RDF |
|
27 | Fabio Panzieri |
Communications Protocols. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Advanced Course: Local Area Networks ![In: Local Area Networks: An Advanced Course, Glasgow, UK, July 11-22, 1983, Proceedings, pp. 162-220, 1983, Springer, 3-540-15191-5. The full citation details ...](Pics/full.jpeg) |
1983 |
DBLP DOI BibTeX RDF |
|
27 | R. Banerjee, W. D. Shepherd |
The Cambridge Ring. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Advanced Course: Local Area Networks ![In: Local Area Networks: An Advanced Course, Glasgow, UK, July 11-22, 1983, Proceedings, pp. 64-86, 1983, Springer, 3-540-15191-5. The full citation details ...](Pics/full.jpeg) |
1983 |
DBLP DOI BibTeX RDF |
|
27 | Werner Bux |
Performance Issues. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Advanced Course: Local Area Networks ![In: Local Area Networks: An Advanced Course, Glasgow, UK, July 11-22, 1983, Proceedings, pp. 108-161, 1983, Springer, 3-540-15191-5. The full citation details ...](Pics/full.jpeg) |
1983 |
DBLP DOI BibTeX RDF |
|
27 | Richard F. Rashid |
Network Operating Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Advanced Course: Local Area Networks ![In: Local Area Networks: An Advanced Course, Glasgow, UK, July 11-22, 1983, Proceedings, pp. 313-360, 1983, Springer, 3-540-15191-5. The full citation details ...](Pics/full.jpeg) |
1983 |
DBLP DOI BibTeX RDF |
|
27 | Gérard Le Lann |
Real-Time Protocols. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Advanced Course: Local Area Networks ![In: Local Area Networks: An Advanced Course, Glasgow, UK, July 11-22, 1983, Proceedings, pp. 457-469, 1983, Springer, 3-540-15191-5. The full citation details ...](Pics/full.jpeg) |
1983 |
DBLP DOI BibTeX RDF |
|
27 | Zhichen Li, Changying Ji, Jicheng Liu |
Leaf Area Calculating Based on Digital Image. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CCTA ![In: Computer And Computing Technologies In Agriculture, Volume II, First IFIP TC 12 International Conference on Computer and Computing Technologies in Agriculture (CCTA 2007), Wuyishan, China, August 18-20, 2007, pp. 1427-1433, 2007, Springer, 978-0-387-77252-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
leaf area, grid square, image processing |
27 | Joakim Lindblad |
Surface Area Estimation of Digitized Planes Using Weighted Local Configurations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DGCI ![In: Discrete Geometry for Computer Imagery, 11th International Conference, DGCI 2003, Naples, Italy, November 19-21, 2003, Proceedings, pp. 348-357, 2003, Springer, 3-540-20499-7. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
Surface area estimation, optimal weights, local voxel configurations, marching cubes, digital planes |
27 | Aiguo Lu, Guenter Stenz, Frank M. Johannes |
Technology Mapping for Minimizing Gate and Routing Area. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 1998 Design, Automation and Test in Europe (DATE '98), February 23-26, 1998, Le Palais des Congrès de Paris, Paris, France, pp. 664-669, 1998, IEEE Computer Society, 0-8186-8359-7. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
Routing, Technology Mapping, Area Optimization |
27 | Yean-Shiang Leu, David Hung-Chang Du |
Cycle Compensation Protocol: A Fair Protocol for the Unidirectional Twin-Bus Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 43(1), pp. 1-12, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
cycle compensation protocol, fair protocol, unidirectional twin-bus architecture, IEEE 802.6 Standard, Distributed Queue Dual Bus, channel bandwidth, unfairness problem, protocols, standards, local area networks, metropolitan area networks, metropolitan area networks, channel utilization |
26 | Erdal Cayirci, Ian F. Akyildiz |
Optimal Location Area Design to Minimize Registration Signaling Traffic in Wireless Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Mob. Comput. ![In: IEEE Trans. Mob. Comput. 2(1), pp. 76-85, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
Location area design, traffic-based location area design, cellular wireless systems, registration, paging, location update |
26 | Amr Elsaadany, Mukesh Singhal, Ming T. Liu |
Performance study of buffering within switches in local area networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCCN ![In: Proceedings of the 4th International Conference on Computer Communications and Networks (ICCCN '95), September 20-23, 1995, Las Vegas, Nevada, USA, pp. 451, 1995, IEEE Computer Society, 0-8186-7180-7. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
switch performance, network transfer rates, output ports, input ports, LAN performance, multimedia, delay, throughput, local area networks, local area networks, buffering, queueing model, buffer size, performance study, output buffer, packet loss rate |
26 | P. S. Dasgupta, Susmita Sur-Kolay, Bhargab B. Bhattacharya |
VLSI floorplan generation and area optimization using AND-OR graph search. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 8th International Conference on VLSI Design (VLSI Design 1995), 4-7 January 1995, New Delhi, India, pp. 370-375, 1995, IEEE Computer Society, 0-8186-6905-5. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
VLSI floorplan generation, AND-OR graph search, rectangular dualization, minimum-area floorplan, optimal sizing, heuristic search method, top-down first phase, search effort, bottom-up polynomial-time algorithm, nonslicible floorplans, VLSI, graph theory, circuit layout CAD, circuit optimisation, integrated circuit interconnections, aspect ratios, area optimization, adjacency graph |
26 | Amitava Raha, Nicholas Malcolm, Wei Zhao 0001 |
Hard real-time communications with weighted round robin service in ATM local area networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICECCS ![In: 1st IEEE International Conference on Engineering of Complex Computer Systems (ICECCS '95), November 6-10, 1995, Fort Lauderdale, Florida, USA, pp. 96-, 1995, IEEE Computer Society, 0-8186-7123-8. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
hard real-time communications, weighted round robin service, output link scheduling, message deadlines, weighted round robin scheduling policy, weighted round robin scheduling, hard real-time connections, admission probability, heuristic scheme, scheduling, asynchronous transfer mode, ATM, local area networks, local area networks, system performance |
26 | Allalaghatta Pavan, Jonathan C. L. Liu, Aloke Guha, Jack Pugaczewski, Mowaffak Midani |
Experimental evaluation of real-time support on the Mercuri wide area ATM testbed. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCN ![In: Proceedings 20th Conference on Local Computer Networks (LCN'95), Minneapolis, Minnesota, USA, October 16-19, 1995, pp. 82-91, 1995, IEEE Computer Society, 0-8186-7162-9. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
real-time support, Mercuri wide area ATM testbed, ATM testbed, application level throughput, round-trip delay, real-time provisions, deadline guarantees, remote video transfer, high speed communications, real-time multimedia, performance evaluation, performance evaluation, asynchronous transfer mode, delay, multimedia systems, wide area networks, jitter, jitter, priority, performance degradation, bottleneck |
26 | Amr Elsaadany, Mukesh Singhal, Ming T. Liu |
Alternative configurations for local area network design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCN ![In: Proceedings 20th Conference on Local Computer Networks (LCN'95), Minneapolis, Minnesota, USA, October 16-19, 1995, pp. 202-208, 1995, IEEE Computer Society, 0-8186-7162-9. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
local area network design, LAN configurations, performance evaluation, reliability, local area networks, configurations, high performance, multimedia computing, multimedia traffic |
26 | John K. Antonio |
Concurrent Communication in High-Speed Wide Area Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 5(3), pp. 264-273, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
high-speed wide area networks, concurrent communication, stochastic demand pattern model, analytic estimate, link capacity values, weighted hop distancemetric, asymmetric topological structures, planarmeshes, performance evaluation, probability, probability, communication complexity, stochastic model, wide area networks, random graphs, performance metric, links, polynomial time, network parameters, topological structure, exponential complexity, receptivity |
26 | Guy Bernard, Andrzej Duda, Yoram Haddad 0002, Gilbert Harrus |
Primitives for Distributed Computing in a Heterogeneous Local Area Network Environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 15(12), pp. 1567-1578, 1989. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
heterogeneous local area network environment, interprocess communication mechanisms, network aspects, Epsilon, high-level communication primitives, host names, software engineering, distributed computing, operating systems, local area networks, distributed applications, source code, heterogeneous computers, microcomputers, computer communications software, hardware configuration |
26 | Wo-Shun Luk, Franky Ling |
An Analytic/Empirical Study of Distributed Sorting on a Local Area Network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 15(5), pp. 575-586, 1989. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
local processing time, distributed sorting algorithms, Ethernet-connected Sun workstations, local processing, local subfiles, large files, communication parallelism, distributed processing, sorting, local area network, local area networks, LAN, algorithm performance, communication time, asymptotic behavior |
26 | Jianwei Niu 0003, Zhizhong Li 0003, Song Xu |
Comparisons of 3D Shape Clustering with Different Face Area Definitions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HCI (11) ![In: Digital Human Modeling, Second International Conference, ICDHM 2009, Held as Part of HCI International 2009, San Diego, CA, USA, July 19-24, 2009. Proceedings, pp. 55-63, 2009, Springer, 978-3-642-02808-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
face area, shape comparison, clustering, 3D anthropometry |
26 | Chiu-Wing Sham, Evangeline F. Y. Young |
Area reduction by deadspace utilization on interconnect optimized floorplan. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 12(1), pp. 3:1-3:11, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
area reduction, Floorplanning |
26 | Jacob O. Wobbrock, Krzysztof Z. Gajos |
A comparison of area pointing and goal crossing for people with and without motor impairments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASSETS ![In: Proceedings of the 9th International ACM SIGACCESS Conference on Computers and Accessibility, ASSETS 2007, Tempe, Arizona, USA, October 15-17, 2007, pp. 3-10, 2007, ACM, 978-1-59593-573-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
area pointing, goal crossing, throughput, Fitts' law, mouse, target acquisition, steering law, trackball |
26 | Bin Yang, Jianhong Yang, Jinwu Xu, Debin Yang |
Area Localization Algorithm for Mobile Nodes in Wireless Sensor Networks Based on Support Vector Machines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MSN ![In: Mobile Ad-Hoc and Sensor Networks, Third International Conference, MSN 2007, Beijing, China, December 12-14, 2007, Proceedings, pp. 561-571, 2007, Springer, 978-3-540-77023-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Area localization, Wireless sensor networks, Support Vector Machines, Mobile nodes |
26 | Ku-Jin Kim, Sun-Mi Park, Nakhoon Baek |
A Texture-Based Algorithm for Vehicle Area Segmentation Using the Support Vector Machine Method. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RSFDGrC ![In: Rough Sets, Fuzzy Sets, Data Mining and Granular Computing, 11th International Conference, RSFDGrC 2007, Toronto, Canada, May 14-16, 2007, Proceedings, pp. 542-549, 2007, Springer, 978-3-540-72529-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Vehicle area segmentation, Texture-based, Support vector machine |
26 | Juinn-Dar Huang, Jing-Yang Jou, Wen-Zen Shen |
An iterative area/performance trade-off algorithm for LUT-based FPGA technology mapping. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: Proceedings of the 1996 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1996, San Jose, CA, USA, November 10-14, 1996, pp. 13-17, 1996, IEEE Computer Society / ACM, 0-8186-7597-7. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
LUT-Based FPGA Technology Mapping, Area/Performance Trade-Off and Timing Driven FPGA Synthesis |
25 | Ivana Ivánová, Margita Vajsablova |
Uncertainty in Position of Objects as an Influence Factor of the Area Value. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DEXA Workshops ![In: 16th International Workshop on Database and Expert Systems Applications (DEXA 2005), 22-26 August 2005, Copenhagen, Denmark, pp. 468-472, 2005, IEEE Computer Society, 0-7695-2424-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
25 | Randolph G. Foldvik, David Meyer |
Moving towards ATM: LAN/WAN evolution and experimentation at the University of Oregon. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCN ![In: Proceedings 20th Conference on Local Computer Networks (LCN'95), Minneapolis, Minnesota, USA, October 16-19, 1995, pp. 3-8, 1995, IEEE Computer Society, 0-8186-7162-9. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
WAN evolution, LAN evolution, Oregon University, US WEST, technical ATM equipment trial, ATM services, ATM connectivity, high-end desktop workstations, high-end routers, customer-owned ATM switches, public ATM services, legacy LANs, ATM switched virtual circuits, ATM permanent virtual circuits, local networking capabilities, WAN experimentation, LAN experimentation, protocols, asynchronous transfer mode, ATM, local area networks, wide area networks, educational technology, telecommunication network routing, workstations, IP networking, LAN interconnection, educational computing, optical fibre LAN |
24 | Apurva Kumar, M. N. Umesh, Rajesh Jha |
Mobility modeling of rush hour traffic for location area design in cellular networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WOWMOM ![In: Proceedings of the Third ACM International Workshop on Wireless Mobile Multimedia, WOWMOM 2000, Boston, Massachusetts, USA, August 11, 2000, pp. 48-54, 2000, ACM, 1-58113-302-2. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
area zones, high capacity routes, registration, mobility models, paging, location area |
24 | Robert Konopka, Markus Trommer |
A multilayer-architecture for SNMP-based, distributed and hierarchical management of local area networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCCN ![In: Proceedings of the 4th International Conference on Computer Communications and Networks (ICCCN '95), September 20-23, 1995, Las Vegas, Nevada, USA, pp. 272, 1995, IEEE Computer Society, 0-8186-7180-7. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
multilayer-architecture, SNMP-based management, hierarchical management, management data, intermediate layer, network management functions, standardized interface, limit surveillance, prognosis functionality, simple network management protocol, local area networks, local area networks, statistics, traffic, preprocessors, MIB, distributed management |
24 | Ulrich Hofmann 0001, Marek Krajewski |
Quasi-static load balancing in local area networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCN ![In: Proceedings 20th Conference on Local Computer Networks (LCN'95), Minneapolis, Minnesota, USA, October 16-19, 1995, pp. 254-263, 1995, IEEE Computer Society, 0-8186-7162-9. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
LAN-connected workstations, quasistatic, system-wide cost function, gradient projection optimization, performance evaluation, optimal, load balancing, resource allocation, local area networks, local area networks, iterative, distributed load balancing |
24 | Akhilesh Tyagi |
A Reduced-Area Scheme for Carry-Select Adders. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 42(10), pp. 1163-1170, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
reduced-area, conditional-sum adders, carry-chain evaluations, gate-count, carry-ripple, classical carry-select, logic design, adders, logic circuits, gate-delay, parallel-prefix adders, analytic evaluation, area-efficient, carry-skip adders, carry-select adders |
24 | Binay Sugla, David A. Carlson |
Extreme Area-Time Tradeoffs in VLSI. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 39(2), pp. 251-257, 1990. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
bounded fan-in, fan-out prefix computation graphs, area requirements, constant factor reduction, area-time tradeoff, VLSI, lower bounds, digital arithmetic, layout, circuit layout CAD, carry look-ahead adder |
24 | Luigi Ciminiera, Adriano Valenzano |
Authentication Mechanisms in Microprocessor-Based Local Area Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 15(5), pp. 654-658, 1989. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
authentication mechanisms, microprocessor-based local area networks, unauthorized use, intruders, interface process, user-server connection, unauthorized requests, capability checking, iAPX432-based hosts, database, cache, searching, service, local area networks, security of data, identity, protect, server, hardware support, shared resources, parallel search, access rights, check, performance issues |
24 | Raphael Rom, Nachum Shacham |
A Reconfiguration Algorithm for a Double-Loop Token-Ring Local Area Network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 37(2), pp. 182-189, 1988. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
distributed reconfiguration algorithm, neighbour-to-neighbour communications, double-loop token ring local area network, local observations, fault tolerance, fault tolerant computing, distributed processing, local area networks, topological changes, broken link |
24 | K. V. S. Ramarao |
Distributed Sorting on Local Area Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 37(2), pp. 239-243, 1988. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
distributed sorting, straight-line-topology local area network, worst-case communication complexity, computational complexity, lower bounds, distributed processing, sorting, local area networks, optimal algorithms |
24 | David L. Foster, Darrin M. Hanna |
Maximizing area-constrained partial fault tolerance in reconfigurable logic. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPGA ![In: Proceedings of the ACM/SIGDA 18th International Symposium on Field Programmable Gate Arrays, FPGA 2010, Monterey, California, USA, February 21-23, 2010, pp. 259-262, 2010, ACM, 978-1-60558-911-4. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
area-constrained, FPGA |
24 | Sören Sonntag, Wenjian Wang |
Area and power consumption estimations at system level with SystemQ 2.0. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SimuTools ![In: Proceedings of the 2nd International Conference on Simulation Tools and Techniques for Communications, Networks and Systems, SimuTools 2009, Rome, Italy, March 2-6, 2009, pp. 25, 2009, ICST/ACM, 978-963-9799-45-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
SystemQ, area and power estimation, modeling, synthesis, electronic system level |
24 | Stefan Tillich, Martin Feldhofer, Thomas Popp, Johann Großschädl |
Area, Delay, and Power Characteristics of Standard-Cell Implementations of the AES S-Box. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Signal Process. Syst. ![In: J. Signal Process. Syst. 50(2), pp. 251-261, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
substitution box (S-box), inversion in the finite field GF($28$), standard cell implementation, Advanced Encryption Standard (AES), power consumption, silicon area, critical path delay |
24 | Hasliza A. Rahim, Ab Al-Hadi Ab Rahman, R. Badlishah Ahmad, Wan Nur Suryani Firuz Wan Ariffin, Muhammad Imran Ahmad |
The Performance Study of Two Genetic Algorithm Approaches for VLSI Macro-Cell Layout Area Optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asia International Conference on Modelling and Simulation ![In: Second Asia International Conference on Modelling and Simulation, AMS 2008, Kuala Lumpur, Malaysia, May 13-15, 2008, pp. 207-212, 2008, IEEE Computer Society, 978-0-7695-3136-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Steady-State Algorithm, Genetic Algorithm, VLSI, Area Optimization, Simple Genetic Algorithm |
24 | Wagner Vieira Silvério, Janaína Domingues Costa, João Leonardo Fragoso, Julio Leão Silva Jr. |
Low-area ASIC implementation for configurable coefficients FIR pulse shape filters of digital TV systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBCCI ![In: Proceedings of the 21st Annual Symposium on Integrated Circuits and Systems Design, SBCCI 2008, Gramado, Brazil, September 1-4, 2008, pp. 52-57, 2008, ACM, 978-1-60558-231-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
communication filters, digital communications, area optimization |
24 | Qi Zhang, Xing Xie 0001, Lee Wang, Lihua Yue, Wei-Ying Ma |
Computing Geographical Serving Area Based on Search Logs and Website Categorization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DEXA ![In: Database and Expert Systems Applications, 18th International Conference, DEXA 2007, Regensburg, Germany, September 3-7, 2007, Proceedings, pp. 811-822, 2007, Springer, 978-3-540-74467-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Location-based web application, serving area, serving radius, web classification |
24 | Albert M. Lai, Jason Nieh |
On the performance of wide-area thin-client computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Syst. ![In: ACM Trans. Comput. Syst. 24(2), pp. 175-209, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Internet2, slow-motion benchmarking, wide-area networks, Thin-client |
24 | Leipo Yan, Thambipillai Srikanthan, Niu Gang |
Area and delay estimation for FPGA implementation of coarse-grained reconfigurable architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCTES ![In: Proceedings of the 2006 ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'06), Ottawa, Ontario, Canada, June 14-16, 2006, pp. 182-188, 2006, ACM, 1-59593-362-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
CGRA, VLIW, hardware/software partitioning, delay estimation, area estimation |
24 | Tianyi Jiang, Xiaoyong Tang, Prithviraj Banerjee |
Macro-models for high level area and power estimation on FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 14th ACM Great Lakes Symposium on VLSI 2004, Boston, MA, USA, April 26-28, 2004, pp. 162-165, 2004, ACM, 1-58113-853-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
model, FPGA, high-level synthesis, power estimation, RTL, area estimation |
24 | Rob van Nieuwpoort, Thilo Kielmann, Henri E. Bal |
Efficient load balancing for wide-area divide-and-conquer applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPoPP ![In: Proceedings of the 2001 ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming (PPOPP'01), Snowbird, Utah, USA, June 18-20, 2001, pp. 34-43, 2001, ACM, 1-58113-346-4. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
clustered wide-area systems, Java, distributed supercomputing |
24 | Yair Amir, Claudiu Danilov 0001, Jonathan Robert Stanton |
A Low Latency, Loss Tolerant Architecture and Protocol for Wide Area Group Communication. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSN ![In: 2000 International Conference on Dependable Systems and Networks (DSN 2000) (formerly FTCS-30 and DCCA-8), 25-28 June 2000, New York, NY, USA, pp. 327-336, 2000, IEEE Computer Society, 0-7695-0707-7. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
TCP/IP, Overlay Networks, Group Communication, Wide Area Networks, Reliable Multicast |
24 | Xuemin Lin 0001, Peter Eades |
Area Minimization for Grid Visibility Representation of Hierarchically Planar Graphs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
COCOON ![In: Computing and Combinatorics, 5th Annual International Conference, COCOON '99, Tokyo, Japan, July 26-28, 1999, Proceedings, pp. 92-102, 1999, Springer, 3-540-66200-6. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
Hierarchically Planar Graph, Visibility Representation, Drawing Area, Graph Drawing |
24 | Amit Sinha, Mahesh Mehendale |
mproving Area Efficiency of FIR Filters Implemented Using Distributed Arithmetic. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 11th International Conference on VLSI Design (VLSI Design 1991), 4-7 January 1998, Chennai, India, pp. 104-109, 1998, IEEE Computer Society, 0-8186-8224-8. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
FIR Filters, Distributed Arithmetic, Area Estimation |
24 | Paul Bay, Gianfranco Bilardi |
Deterministic On-Line Routing on Area-Universal Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. ACM ![In: J. ACM 42(3), pp. 614-640, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
area-universal, general purpose, fat-tree |
23 | Scott T. Acton, Dipti Prasad Mukherjee |
Scale space classification using area morphology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Image Process. ![In: IEEE Trans. Image Process. 9(4), pp. 623-635, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
23 | William H. Robinson, D. Scott Wills |
Efficiency Analysis for a Mixed-Signal Focal Plane Processing Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. VLSI Signal Process. ![In: J. VLSI Signal Process. 41(1), pp. 65-80, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
focal plane processing, digital pixel, area-time efficiency, energy efficiency, single-instruction multiple-data (SIMD), area efficiency |
23 | Michael J. Flynn |
What's ahead in computer design? ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUROMICRO ![In: 23rd EUROMICRO Conference '97, New Frontiers of Information Technology, 1-4 September 1997, Budapest, Hungary, pp. 4-, 1997, IEEE Computer Society, 0-8186-8129-2. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
die area improvement, very high level superscalar processors, very large cache, pin bandwidth, processor complexity, scalability, multiprocessors, logic design, instruction level parallelism, VLIW, CMOS technology, lithography, cycle time, computer design, silicon area, cache size |
23 | Benjamin J. Ewy, Joseph B. Evans, Victor Frost, Gary J. Minden |
TCP/ATM Experiences in the MAGIC Testbed. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPDC ![In: Proceedings of the 4th International Symposium on High Performance Distributed Computing (HPDC '95), Washington, DC, USA, August 2-4, 1995., pp. 87-93, 1995, IEEE Computer Society, 0-8186-7088-6. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
TCP/ATM, MAGIC testbed, cell level pacing, bandwidth capacity, high performance distributed systems, traffic management infrastructureThe limited applicability of block decomposition in cluster computing - Crandall, P.E. Dept. of Comput, Sci. & Eng., Connecticut Univ., Storrs, USA This Paper Appears in : High Performance Distributed Computing, 1995., Proceedings of the Fourth IEEE International Symposium on on Pages: 102 - 109 This Conference was Held : 2-4 Aug. 1995 1995 ISBN: 0-8186-7088-6 IEEE Catalog Number: 95TB8075 Total Pages: xiv+246 Refer, Mathematical characterizations of the communication costs for contiguous partitioning and block decomposition in both a ring-connected and switched network are presented, Simulations of ring and switch technologies indicate that as network speeds increase and as the effects of message-preparation latency dominate, the advantages claimed for block decomposition diminish, Subject Terms: FDDI, block decomposition, high-speed switch technology, mathematical characterizations, contiguous partitioning, ring-connected networks, simulations, performance evaluation, performance evaluation, performance, asynchronous transfer mode, congestion control, cluster computing, local area networks, transport protocols, performance measurements, wide area networks, CT, switched network, FDDI, congestion avoidance, token networks |
23 | Jin-Tai Yan, Pei-Yung Hsiao |
A new fuzzy-clustering-based approach for two-way circuit partitioning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 8th International Conference on VLSI Design (VLSI Design 1995), 4-7 January 1995, New Delhi, India, pp. 359-364, 1995, IEEE Computer Society, 0-8186-6905-5. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
fuzzy-clustering-based approach, two-way circuit partitioning, circuit netlist, undirected edge-weighted graph, tree net model, clustering distance, area information, area-balanced constraints, circuit benchmarks, VLSI, simulated annealing, network topology, trees (mathematics), fuzzy set theory, logic partitioning, fuzzy c-means clustering, fuzzy memberships |
23 | Vijay Raghunathan, Srivaths Ravi 0001, Ganesh Lakshminarayana |
High-Level Synthesis with Variable-Latency Components. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 13th International Conference on VLSI Design (VLSI Design 2000), 4-7 January 2000, Calcutta, India, pp. 220-227, 2000, IEEE Computer Society, 0-7695-0487-6. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
variable latency units, data dependent computation, area-delay tradeoffs, High-level synthesis, performance optimization |
23 | Sven D. Hermann, Adam Wolisz, Michel Sortais |
Expected Number of Area Exits, Entrances and Crossovers for the Investigation of Area Based Push Service Utilization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICN/ICONS/MCL ![In: Fifth International Conference on Networking and the International Conference on Systems (ICN / ICONS / MCL 2006), 23-29 April 2006, Mauritius, pp. 136, 2006, IEEE Computer Society, 0-7695-2552-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
22 | Niwat Thepvilojanapong, Shinji Motegi, Akira Idoue, Hiroki Horiuchi |
Resource Allocation for Coexisting ZigBee-Based Personal Area Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICN ![In: Seventh International Conference on Networking (ICN 2008), 13-18 April 2008, Cancun, Mexico, pp. 36-45, 2008, IEEE Computer Society, 978-0-7695-3106-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Resource allocation, ZigBee, personal area networks, PAN, coexisting |
22 | Yong Liang 0006, Jiping Liu, Yanling Li, Chengming Zhang 0005, Mingwen Ma |
Study on the Application of Digital Irrigation Area System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CCTA ![In: Computer And Computing Technologies In Agriculture, Volume I, First IFIP TC 12 International Conference on Computer and Computing Technologies in Agriculture (CCTA 2007), Wuyishan, China, August 18-20, 2007, pp. 205-214, 2007, Springer, 978-0-387-77250-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
digital irrigation area, data auto-collection, regulation supervision |
22 | Steven M. Carter, Makia Minich, Nageswara S. V. Rao |
Experimental evaluation of infiniband transport over local- and wide-area networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SpringSim (2) ![In: Proceedings of the 2007 Spring Simulation Multiconference, SpringSim 2007, Norfolk, Virginia, USA, March 25-29, 2007, Volume 2, pp. 419-426, 2007, SCS/ACM, 1-56555-313-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP BibTeX RDF |
Lustre file system, wide-area connections, storage system, supercomputers, Infiniband |
22 | Hamideh Babaei, Mahmood Fathy, Morteza Romoozi |
Obstacle Mobility Model Based on Activity Area in Ad Hoc Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCSA (2) ![In: Computational Science and Its Applications - ICCSA 2007, International Conference, Kuala Lumpur, Malaysia, August 26-29, 2007. Proceedings, Part II, pp. 804-817, 2007, Springer, 978-3-540-74475-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Obstacle Mobility Model, Activity Area, Realistic Mobility, Mobility Model, Network Simulation |
22 | Sang-Gu Kim, Youngho Kim, Taewan Kim, YoungTae Son |
An Introduction of Indicator Variables and Their Application to the Characteristics of Congested Traffic Flow at the Merge Area. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICHIT ![In: Advances in Hybrid Information Technology, First International Conference, ICHIT 2006, Jeju Island, Korea, November 9-11, 2006, Revised Selected Papers, pp. 103-113, 2006, Springer, 978-3-540-77367-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Congested Traffic, Merge Area, Indicators, Correlation Analysis |
22 | Robert L. Grossman, Marco Mazzucco, Harimath Sivakumar, Y. Pan, Q. Zhang |
Simple Available Bandwidth Utilization Library for High-Speed Wide Area Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 34(3), pp. 231-242, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
high performance data transport, TCP, network protocol, wide area networks (WAN), UDP |
22 | Elvis Ko-Yung Jeng, Zhigang Xiang |
Forward area light map projection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Afrigraph ![In: Proceedings of the 2nd International Conference on Computer Graphics, Virtual Reality, Visualisation and Interaction in Africa, Afrigraph 2003, Cape Town, South Africa, February 3-5, 2003, pp. 79-86, 2003, ACM, 1-58113-643-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
area light, rendering, shadow algorithm, soft shadow |
22 | Kavel M. Büyüksahin, Farid N. Najm |
High-level area estimation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2002 International Symposium on Low Power Electronics and Design, 2002, Monterey, California, USA, August 12-14, 2002, pp. 271-274, 2002, ACM, 1-58113-475-4. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
boolean networks, area estimation |
22 | Brad Topol, Mustaque Ahamad, John T. Stasko |
Robust State Sharing for Wide Area Distributed Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDCS ![In: Proceedings of the 18th International Conference on Distributed Computing Systems, Amsterdam, The Netherlands, May 26-29, 1998, pp. 554-561, 1998, IEEE Computer Society, 0-8186-8292-2. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
wide area computing, fault tolerance, metacomputing, shared objects |
22 | Mahadevamurty Nemani, Farid N. Najm |
High-level area and power estimation for VLSI circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: Proceedings of the 1997 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1997, San Jose, CA, USA, November 9-13, 1997, pp. 114-119, 1997, IEEE Computer Society / ACM, 0-8186-8200-0. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
VLSI, CAD, Boolean function, power, estimation, area, high-level |
22 | Donatella Merlini, Renzo Sprugnoli, M. Cecilia Verri |
The Area Determined by Underdiagonal Lattice Paths. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CAAP ![In: Trees in Algebra and Programming - CAAP'96, 21st International Colloquium, Linköping, Sweden, April, 22-24, 1996, Proceedings, pp. 59-71, 1996, Springer, 3-540-61064-2. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
underdiagonal lattice paths, average area, first passage decomposition, generating functions, context-free grammars |
22 | Roto Le, Sherief Reda, R. Iris Bahar |
High-performance, cost-effective heterogeneous 3D FPGA architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009, Boston Area, MA, USA, May 10-12 2009, pp. 251-256, 2009, ACM, 978-1-60558-522-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
3D integrated circuits, heterogeneous FPGA design |
22 | Sumit Vashistha, Amar Prakash Azad, Ananthanarayanan Chockalingam |
Energy Efficient Area Monitoring Using Information Coverage in Wireless Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WOWMOM ![In: 2007 International Symposium on a World of Wireless, Mobile and Multimedia Networks (WoWMoM 2007), 18-21 June 2007, Helsinki, Finland, Proceedings, pp. 1-10, 2007, IEEE Computer Society, 1-4244-0992-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
22 | Ravi Arora, Sachin Shrivastava |
Area Recovery by Abutted Cell Placement: Can Fillers be Killers? An Eye-opening Viewpoint! ![Search on Bibsonomy](Pics/bibsonomy.png) |
APCCAS ![In: IEEE Asia Pacific Conference on Circuits and Systems 2006, APCCAS 2006, Singapore, 4-7 December 2006, pp. 805-807, 2006, IEEE, 1-4244-0387-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
22 | Tamae Imafuku, Sakae Yamamoto |
A Development of Supporting Systems for Disaster Victims in the Initial Stage of Serious Earthquakes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HCI (9) ![In: Human Interface and the Management of Information. Interacting in Information Environments, Symposium on Human Interface 2007, Held as Part of HCI International 2007, Beijing, China, July 22-27, 2007, Proceedings, Part II, pp. 1020-1028, 2007, Springer, 978-3-540-73353-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
the initial stage, the characteristics of the area, the demand of the people in the specific area and the victims, systems, earthquakes, the elderly |
22 | Debanik Roy, Abhishek Chatterjee |
A Distributed Generic Architecture for User-Interactive Internet-Based Remote Activation Towards Manoeuvring Mechatronic Systems in Tandem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Intell. Robotic Syst. ![In: J. Intell. Robotic Syst. 45(3), pp. 217-233, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
bi-directional communication, mechatronic device, remote activation, internet, world wide web, local area network, wide area network, server, web page, socket, client |
22 | Simon Baatz, Wolfgang Hansmann, Jens Tölle |
Security of Routing Cache Updates in Cellular IP. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCN ![In: Proceedings 27th Conference on Local Computer Networks, Tampa, Florida, USA, 8-10 November, 2000, pp. 163-164, 2000, IEEE Computer Society, 0-7695-0912-6. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
routing cache updates security, micro mobility solution, geographical area, IP datagrams, fast handoff control, wide area mobility, location information protection, mobile devices, transport protocols, mobile IP, telecommunication network routing, cache storage, data communication, telecommunication security, packet radio networks, cellular radio, mobile stations, cellular IP |
22 | Valerie E. Taylor, Jian Chen, Thomas Canfield, Rick L. Stevens |
A Decomposition Method For Efficient Use Of Distributed Supercomputers For Finite Element Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASAP ![In: 1996 International Conference on Application-Specific Systems, Architectures, and Processors (ASAP '96), August 19-23, 1996, Chicago, IL , USA, pp. 12-24, 1996, IEEE Computer Society, 0-8186-7542-X. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
finite element applications, highspeed networks, large-scale scientific applications, local network performance, wide area network performance, wide area topology, distributed processing, parallel machines, finite element analysis, decomposition method, distributed supercomputers, processor speed |
22 | Herbert Edelsbrunner, Michael A. Facello, Ping Fu, Jie Liang |
Measuring proteins and voids in proteins. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS (5) ![In: 28th Annual Hawaii International Conference on System Sciences (HICSS-28), January 3-6, 1995, Kihei, Maui, Hawaii, USA, pp. 256-264, 1995, IEEE Computer Society, 0-8186-6945-4. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
protein voids, space filling diagram, solvent accessible surface, metric properties, empty space, surface area contributions, 3-dimensional alpha complexes, inclusion-exclusion formulas, three dimensional alpha complexes, computational geometry, geometric models, diagrams, biology computing, proteins, proteins, chemistry, volume, chemistry computing, molecular surface, molecules, surface area |
22 | Giacomo Bucci, Alberto Del Bimbo, Simone Santini |
Performance Analysis of Two Different Algorithms for Ethernet-FDDI Interconnection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 5(6), pp. 614-629, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
Ethernet-FDDI interconnection, Fiber Distributed Data Interface, LAN's, traffic increase, address filtering, performance evaluation, performance analysis, local area networks, local area networks, distributed environments, FDDI, FDDI, packet filtering, algorithm performance, LAN interconnection, LAN interconnection |
22 | Norman F. Schneidewind |
Distributed System Software Design Paradigm with Application to Computer Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 15(4), pp. 402-412, 1989. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
large-scale computer network, distributed systems, distributed systems, distributed processing, software quality, software reliability, computer networks, local area networks, wide area network, maintainability, system performance, understandability |
21 | Hector Ouilhet |
Google Sky Map: using your phone as an interface. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Mobile HCI ![In: Proceedings of the 12th Conference on Human-Computer Interaction with Mobile Devices and Services, Mobile HCI 2010, Lisbon, Portugal, September 7-10, 2010, pp. 419-422, 2010, ACM, 978-1-60558-835-3. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
21 | Adrian Dumitrescu, Micha Sharir, Csaba D. Tóth |
Extremal problems on triangle areas in two and three dimensions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SCG ![In: Proceedings of the 24th ACM Symposium on Computational Geometry, College Park, MD, USA, June 9-11, 2008, pp. 208-217, 2008, ACM, 978-1-60558-071-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
distinct triangle areas, extremal combinatorics, maximum triangle areas, minimum triangle areas, unit triangle areas, discrete geometry, point configurations |
20 | Huimin Yang, Jinyu Wen |
Power System Aggregate Load Area Dynamic Modeling by Learning Based on WAMS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISNN (3) ![In: Advances in Neural Networks - ISNN 2009, 6th International Symposium on Neural Networks, ISNN 2009, Wuhan, China, May 26-29, 2009, Proceedings, Part III, pp. 1179-1188, 2009, Springer, 978-3-642-01512-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Load area modeling, ALADM, Genetic algorithm, Power system, Recursive least squares |
20 | Ji-yong Kwon, In-Kwon Lee |
Determination of camera parameters for character motions using motion area. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Vis. Comput. ![In: Vis. Comput. 24(7-9), pp. 475-483, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Camera planning, Motion exploration, Motion area |
20 | Gilles Fedak, Haiwu He, Franck Cappello |
A File Transfer Service with Client/Server, P2P and Wide Area Storage Protocols. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Globe ![In: Data Management in Grid and Peer-to-Peer Systems, First International Conference, Globe 2008, Turin, Italy, September 3, 2008. Proceedings, pp. 1-11, 2008, Springer, 978-3-540-85175-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Wide Area Storage, P2P, CDN, File transfer |
20 | Hui Peng, Yuan Li, Lin Wang, Lincheng Shen |
Hormone-Inspired Cooperative Control for Multiple UAVs Wide Area Search. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIC (1) ![In: Advanced Intelligent Computing Theories and Applications. With Aspects of Theoretical and Methodological Issues, 4th International Conference on Intelligent Computing, ICIC 2008, Shanghai, China, September 15-18, 2008, Proceedings, pp. 808-816, 2008, Springer, 978-3-540-87440-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
wide area search, digital hormone, UAV, cooperative control |
20 | Miri Weiss-Cohen, Igal Sirotin, Erez Rave |
GrasSmart: An Intelligent Robotic System for Continuous Area Coverage. ![Search on Bibsonomy](Pics/bibsonomy.png) |
KES (1) ![In: Knowledge-Based Intelligent Information and Engineering Systems, 12th International Conference, KES 2008, Zagreb, Croatia, September 3-5, 2008, Proceedings, Part I, pp. 650-657, 2008, Springer, 978-3-540-85562-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
robot motion, spanning tree, Area coverage |
20 | Wenyu Qu, Kazuo Goda, Masaru Kitsuregawa |
An optimal multimedia object allocation solution in transcoding-enabled wide-area storage systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICUIMC ![In: Proceedings of the 2nd International Conference on Ubiquitous Information Management and Communication, ICUIMC 2008, Suwon, Korea, January 31 - February 01, 2008, pp. 301-309, 2008, ACM, 978-1-59593-993-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
wide-area storage system, allocation, multimedia object |
20 | Hugo Daniel Hernández, Wilhelmus A. M. Van Noije, Elkim Roa, João Navarro Jr. |
A small area 8bits 50MHz CMOS DAC for bluetooth transmitter. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBCCI ![In: Proceedings of the 20th Annual Symposium on Integrated Circuits and Systems Design, SBCCI 2007, Copacabana, Rio de Janeiro, Brazil, September 3-6, 2007, pp. 10-15, 2007, ACM, 978-1-59593-816-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
current-steering segmented, low area, bluetooth |
20 | Nils Aschenbruck, Elmar Gerhards-Padilla, Michael Gerharz, Matthias Frank 0001, Peter Martini |
Modelling mobility in disaster area scenarios. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MSWiM ![In: Proceedings of the 10th International Symposium on Modeling Analysis and Simulation of Wireless and Mobile Systems, MSWiM 2007, Chania, Crete Island, Greece, October 22-26, 2007, pp. 4-12, 2007, ACM, 978-1-59593-851-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
disaster area, mobility model, multi-hop networks |
20 | Robert Bradford, Evangelos Kotsovinos, Anja Feldmann, Harald Schiöberg |
Live wide-area migration of virtual machines including local persistent state. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VEE ![In: Proceedings of the 3rd International Conference on Virtual Execution Environments, VEE 2007, San Diego, California, USA, June 13-15, 2007, pp. 169-179, 2007, ACM, 978-1-59593-630-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
live virtual machine migration, network redirection, persistent state, storage, wide area |
20 | Sven D. Hermann, Michel Sortais, Adam Wolisz |
Utilization analysis of area based push services. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Telecommun. Syst. ![In: Telecommun. Syst. 33(1-3), pp. 215-231, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
location based triggers, area based services, push services, utilization analysis |
20 | Bin Guo, Zhe Li, Dan Li |
Energy-Aware Improved Directed Diffusion Algorithm for Area Event Monitoring in Wireless Sensor Network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDCIT ![In: Distributed Computing and Internet Technology, Third International Conference, ICDCIT 2006, Bhubaneswar, India, December 20-23, 2006, Proceedings, pp. 41-48, 2006, Springer, 3-540-68379-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
area event, wireless sensor networks, Energy-aware, Directed Diffusion |
20 | Chul Sur, Jong-Phil Yang, Kyung Hyune Rhee |
A New Efficient Protocol for Authentication and Certificate Status Management in Personal Area Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCIS ![In: Computer and Information Sciences - ISCIS 2006, 21th International Symposium, Istanbul, Turkey, November 1-3, 2006, Proceedings, pp. 952-962, 2006, Springer, 3-540-47242-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Efficient Authentication, Simplified Certificate Status Management, Personal Area Network, One-Time Signature |
20 | Levent Aksoy, Eduardo A. C. da Costa, Paulo F. Flores, José Monteiro 0001 |
Optimization of area under a delay constraint in digital filter synthesis using SAT-based integer linear programming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 43rd Design Automation Conference, DAC 2006, San Francisco, CA, USA, July 24-28, 2006, pp. 669-674, 2006, ACM, 1-59593-381-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
multiple constant multiplication, multiplierless digital filter design, delay optimization, area optimization |
20 | Tovi Grossman, Ravin Balakrishnan |
The bubble cursor: enhancing target acquisition by dynamic resizing of the cursor's activation area. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CHI ![In: Proceedings of the 2005 Conference on Human Factors in Computing Systems, CHI 2005, Portland, Oregon, USA, April 2-7, 2005, pp. 281-290, 2005, ACM, 1-58113-998-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
area cursor, Fitts' law, target acquisition, bubble cursor |
Displaying result #201 - #300 of 51802 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ >>] |
|