The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for clock with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1948-1976 (15) 1978-1982 (15) 1983-1984 (16) 1985-1986 (33) 1987 (18) 1988 (25) 1989 (31) 1990 (47) 1991 (31) 1992 (44) 1993 (56) 1994 (114) 1995 (149) 1996 (134) 1997 (169) 1998 (153) 1999 (244) 2000 (239) 2001 (276) 2002 (359) 2003 (478) 2004 (553) 2005 (665) 2006 (715) 2007 (711) 2008 (728) 2009 (473) 2010 (332) 2011 (290) 2012 (269) 2013 (284) 2014 (318) 2015 (301) 2016 (243) 2017 (264) 2018 (296) 2019 (260) 2020 (232) 2021 (235) 2022 (256) 2023 (235) 2024 (55)
Publication types (Num. hits)
article(3278) book(3) incollection(30) inproceedings(6992) phdthesis(53) proceedings(5)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 5810 occurrences of 2370 keywords

Results
Found 10362 publication records. Showing 10361 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
39Ramamurthy Vishweshwara, Ramakrishnan Venkatraman, Vipul Kadodwala Early clock prototyping for design analysis and quality entitlement. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
39Victor H. Cordero Calle, Sunil P. Khatri Clock Distribution Scheme using Coplanar Transmission Lines. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
39Matthew R. Guthaus, Dennis Sylvester, Richard B. Brown Clock tree synthesis with data-path sensitivity matching. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
39Yanfeng Wang, Qiang Zhou 0001, Yici Cai, Jiang Hu, Xianlong Hong, Jinian Bian Low power clock buffer planning methodology in F-D placement for large scale circuit design. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
39Suman Jana, Sneha Kumar Kasera On fast and accurate detection of unauthorized wireless access points using clock skews. Search on Bibsonomy MobiCom The full citation details ... 2008 DBLP  DOI  BibTeX  RDF MAC address spoofing, fake access point, IEEE 802.11, fingerprint, timestamp
39Nainesh Agarwal, Nikitas J. Dimopoulos DSPstone Benchmark of CoDeL's Automated Clock Gating Platform. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
39Mohammad Azim Karami, Ali Afzali-Kusha, Reza Faraji-Dana, Masoud Rostami Quantitative Comparison of Optical and Electrical H, X, and Y clock Distribution Networks. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
39Dawid Trawczynski, Janusz Sosnowski, Janusz Zalewski The Effect of Large Clock Drifts on Performance of Event and Time Triggered Network Interfaces. Search on Bibsonomy DepCoS-RELCOMEX The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
39Rong Ji, Xianjun Zeng, Liang Chen, Junfeng Zhang The Implementation and Design of a Low-Power Clock Distribution Microarchitecture. Search on Bibsonomy IEEE NAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
39Mustafa Badaroglu, Kris Tiri, Geert Van der Plas, Piet Wambacq, Ingrid Verbauwhede, Stéphane Donnay, Georges G. E. Gielen, Hugo De Man Clock-skew-optimization methodology for substrate-noise reduction with supply-current folding. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
39Anand Rajaram, Jiang Hu, Rabi N. Mahapatra Reducing clock skew variability via crosslinks. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
39Baris Taskin, Ivan S. Kourtev Delay Insertion Method in Clock Skew Scheduling. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
39Arjun Rajagopal Clock tree design challenges for robust and low power design. Search on Bibsonomy ISPD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF NBTI, IR drop
39Thomas Locher, Roger Wattenhofer Oblivious Gradient Clock Synchronization. Search on Bibsonomy DISC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Distributed algorithms, synchronization protocols, asynchronous computation
39Chia-Chun Tsai, Jan-Ou Wu, Chien-Wen Kao, Trong-Yen Lee, Rong-Shue Hsiao Coupling aware RLC-based clock routings for crosstalk minimization. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
39Jussi Ängeslevä, Ross Cooper Last Clock. Search on Bibsonomy IEEE Computer Graphics and Applications The full citation details ... 2005 DBLP  DOI  BibTeX  RDF information visualization, time, interactive art, ambient media
39Wai-Ching Douglas Lam, Cheng-Kok Koh Process variation robust clock tree routing. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
39Kuo-Hsing Cheng, Chen-Lung Wu, Yu-Lung Lo, Chia-Wei Su A phase-detect synchronous mirror delay for clock skew-compensation circuits. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
39Xinjie Wei, Yici Cai, Xianlong Hong Zero skew clock routing with tree topology construction using simulated annealing method. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
39Kambiz Rahimi, Chris Diorio In-Circuit Self-Tuning of Clock Latencies. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
39Jeng-Liang Tsai, Dong Hyun Baik, Charlie Chung-Ping Chen, Kewal K. Saluja A yield improvement methodology using pre- and post-silicon statistical clock scheduling. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
39Kamal S. Khouri, Niraj K. Jha Clock selection for performance optimization of control-flowintensive behaviors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
39Kamal S. Khouri, Niraj K. Jha Clock Selection for Performance Optimization of Control-Flow Intensive Behaviors. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
39Mustafa Celik, Lawrence T. Pileggi Metrics and bounds for phase delay and signal attenuation in RC(L)clock trees. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
39Henrik Lönn A Fault Tolerant Clock Synchronization Algorithm for Systems with Low-Precision Oscillators. Search on Bibsonomy EDCC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
39Ashok Vittal, Malgorzata Marek-Sadowska Low-power buffered clock tree design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
39Koenraad Audenaert Clock Trees: Logical Clocks for Programs with Nested Parallelism. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Lamport clocks, nested fork-join parallelism, event labeling, vector clocks, Logical time
39Jun Dong Cho, Majid Sarrafzadeh A buffer distribution algorithm for high-performance clock net optimization. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
39Shantanu Ganguly, Shervin Hojat Clock distribution design and verification for PowerPC microprocessors. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF PowerPC
39Jason Cong, Andrew B. Kahng, Gabriel Robins Matching-based methods for high-performance clock routing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
38Baris Taskin, Joseph Demaio, Owen Farell, Michael Hazeltine, Ryan Ketner Custom topology rotary clock router with tree subnetworks. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Resonant rotary clocking, clock network design, multiphase synchronization, clock skew
38Gustavo Wilke, Renan Fonseca, Cecilia Mezzomo, Ricardo Reis 0001 A novel scheme to reduce short-circuit power in mesh-based clock architectures. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF clock mesh, power, clock skew, short-circuit
38Mohamed Nekili, Yvon Savaria, Guy Bois Design of Clock Distribution Networks in Presence of Process Variations. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF process variations, clock skew, clock distribution
38Tsung-Han Lin, Keng-hao Chang, Jr-ben Tian, Hao-Hua Chu, Polly Huang Modeling and simulation comparison of two time synchronization protocols. Search on Bibsonomy PM2HW2N The full citation details ... 2008 DBLP  DOI  BibTeX  RDF performance evaluation, sensor networks, time synchronization
38Joohee Kim, Conrad H. Ziesler Fixed-Load Energy Recovery Memory for Low Power. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
38Mehmet A. Orgun, Chuchang Liu Querying Clocked Databases. Search on Bibsonomy FQAS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
38Rajeev Alur, Costas Courcoubetis, Thomas A. Henzinger The Observational Power of Clocks. Search on Bibsonomy CONCUR The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
38Gill A. Pratt, John Nguyen Distributed synchronous clocking. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF distributed synchronous clocking, hardware clock, synchronous processor, distributed error correction algorithm, global phase alignment, mode lock, k-ary Cartesian meshes, scalability, graph theory, timing, synchronisation, error correction, clocks, phase locked loops, digital systems, clock signals
36Hochang Jang, Taewhan Kim Simultaneous clock buffer sizing and polarity assignment for power/ground noise minimization. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF clock synthesis, power/ground noise, buffer insertion
36Eli Arbel, Cindy Eisner, Oleg Rokhlenko Resurrecting infeasible clock-gating functions. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF clustering, low power, approximation, clock gating
36Edmar Mota-Garcia, Rogelio Hasimoto-Beltrán Clock offset estimation using collaborative one-way transit time. Search on Bibsonomy SIGMETRICS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF clock offset estimation, one-way transit time
36Rupak Samanta, Jiang Hu, Peng Li 0001 Discrete buffer and wire sizing for link-based non-tree clock networks. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF non-tree, buffer, clock, wire, svm
36Sultan Al-Hinai, Lynn Margaret Batten, Bernard D. Colbert Mutually Clock-Controlled Feedback Shift Registers Provide Resistance to Algebraic Attacks. Search on Bibsonomy Inscrypt The full citation details ... 2007 DBLP  DOI  BibTeX  RDF stream cipher, algebraic attacks, clock-control
36Yannick Bonhomme, Patrick Girard 0001, Loïs Guiller, Christian Landrault, Serge Pravossoudovitch, Arnaud Virazel A Gated Clock Scheme for Low Power Testing of Logic Cores. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF test-per-scan, test-per-clock, low power design, low power test
36Kui Wang, Lian Duan, Xu Cheng ExtensiveSlackBalance: an approach to make front-end tools aware of clock skew scheduling. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF back-annotation, skew scheduling, logic synthesis, clock skew
36Chao-Yang Yeh, Malgorzata Marek-Sadowska Skew-programmable clock design for FPGA and skew-aware placement. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF clock architecture, skew optimization, placement
36Chia-Chun Tsai, Jan-Ou Wu, Chung-Chieh Kuo, Trong-Yen Lee, Wen-Ta Lee Zero-Skew Driven for RLC Clock Tree Construction in SoC. Search on Bibsonomy ICITA (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF RLC delay model, Upward propagation, SoC, Clock tree, Zero skew
36Ganesh Venkataraman, Cliff C. N. Sze, Jiang Hu Skew scheduling and clock routing for improved tolerance to process variations. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF layout embedding, skew scheduling, reliability, process variation, clock routing
36Kamran Saleh, Mehrdad Najibi, Mohsen Naderi, Hossein Pedram, Mehdi Sedighi A novel clock generation scheme for globally asynchronous locally synchronous systems: an FPGA-validated approach. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF on-chip clock generation, FPGA, GALS
36Gerald G. Lopez, Giovanni Fiorenza, Thomas J. Bucelot, Phillip J. Restle, Mary Yvonne Lanzerotti Characterization of the impact of interconnect design on the capacitive load driven by a global clock distribution. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF load capacitance, routing, application specific integrated circuit (ASIC), clock, power dissipation
36Ondrej Novák, Zdenek Plíva, Jiri Nosek, Andrzej Hlawiczka, Tomasz Garbolino, Krzysztof Gucwa Test-Per-Clock Logic BIST with Semi-Deterministic Test Patterns and Zero-Aliasing Compactor. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF test-per-clock testing, test pattern compression, zero aliasing error, built-in self test, test response compaction
36Jeng-Liang Tsai, Tsung-Hao Chen, Charlie Chung-Ping Chen Optimal minimum-delay/area zero-skew clock tree wire-sizing in pseudo-polynomial time. Search on Bibsonomy ISPD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF ?-optimal, incremental refinement, pseudo-polynomial, clock tree, wire-sizing, zero-skew
36Emil Talpes, Diana Marculescu A critical analysis of application-adaptive multiple clock processors. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF multi clock processors, dynamic voltage scaling, microarchitecture, simulation framework, globally asynchronous locally synchronous
36Venkata Syam P. Rapaka, Diana Marculescu A mixed-clock issue queue design for globally asynchronous, locally synchronous processor cores. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF issue window design, mixed-clock circuits, GALS
36Andreas Weissel, Frank Bellosa Process cruise control: event-driven clock scaling for dynamic power management. Search on Bibsonomy CASES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF clock scaling, event counters, scheduling, power management
36Haydar Saaied, Dhamin Al-Khalili, Asim J. Al-Khalili, Mohamed Nekili Quadratic deferred-merge embedding algorithm for zero skew clock distribution network. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF VLSI, clock distribution network, zero skew
36Jaewon Oh, Massoud Pedram Gated Clock Routing Minimizing the Switched Capacitance. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF gated clock routing, low power
36Anurag Agarwal, Vijay K. Garg Efficient dependency tracking for relevant events in concurrent systems. Search on Bibsonomy Distributed Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Dependency tracking, Vector clock, Predicate detection
36Hirofumi Iwato, Keishi Sakanushi, Yoshinori Takeuchi, Masaharu Imai A low power VLIW processor generation method by means of extracting non-redundant activation conditions. Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF low power, ASIP, clock gating, VLIW processor
36Anurag Agarwal, Vijay K. Garg Efficient dependency tracking for relevant events in shared-memory systems. Search on Bibsonomy PODC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF shared-memory, vector clock, predicate detection
36Srimat T. Chakradhar Optimum retiming of large sequential circuits. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF optimum retiming, large sequential circuits, unit delay model, optimum clock period, path graph, VLSI, linear programming, delays, timing, integer programming, sequential circuits, logic CAD, integer linear program, flip-flops, circuit CAD, fast algorithm, integrated logic circuits, circuit optimisation, VLSI circuits, linear program relaxation
35Roland Mandler A configurable adjunct for real time systems (CARTS). Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF utility programs, configurable adjunct for real time systems, rapid application code generation, generic user interfaces, processor restarts, incremental system integration, incremental system reconfiguration, file-based data, tailored functionality, CARTS postal system, optimal transfer methods, backplane I/O, network I/O, CARTS clock services, high resolution time of day clocks, high resolution mission clock, system wide clock synchronisation, real-time systems, user interfaces, architectures, shared memory, shared memory systems, application program interfaces, clocks, network operating systems, operating system kernels, CARTS, real time distributed systems, input-output programs, system services, intertask communication
35Bakhtiar Affendi Rosdi, Atsushi Takahashi 0001 Low area pipelined circuits by multi-clock cycle paths and clock scheduling. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Rajballav Dash, Rajesh Garg, Sunil P. Khatri, Gwan S. Choi SEU hardened clock regeneration circuits. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
34Ashutosh Chakraborty, Karthik Duraisami, Ashoka Visweswara Sathanur, Prassanna Sithambaram, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino Dynamic Thermal Clock Skew Compensation Using Tunable Delay Buffers. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Morteza Saheb Zamani, Maryam Taajobian, Mehdi Saeedi An Efficient Non-Tree Clock Routing Algorithm for Reducing Delay Uncertainty. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Marina Biberstein, Yuval Harel, Andre Heilper Clock Synchronization in Cell BE Traces. Search on Bibsonomy Euro-Par The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Aida Todri, Malgorzata Marek-Sadowska A study of reliability issues in clock distribution networks. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Nevine AbouGhazaleh, Bruce R. Childers, Daniel Mossé, Rami G. Melhem Integrated CPU Cache Power Management in Multiple Clock Domain Processors. Search on Bibsonomy HiPEAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Zbigniew Jerzak, Robert Fach, Christof Fetzer Adaptive Internal Clock Synchronization. Search on Bibsonomy SRDS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Saif Ali Butt, Stefan Schmermbeck, Jurij Rosenthal, Alexander Pratsch, Eike Schmidt System level clock tree synthesis for power optimization. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Bill Pontikakis, Hung Tien Bui, François R. Boyer, Yvon Savaria A Low-Complexity High-Speed Clock Generator for Dynamic Frequency Scaling of FPGA and Standard-Cell Based Designs. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Weixiang Shen, Yici Cai, Xianlong Hong, Jiang Hu, Bing Lu Planar-CRX: A Single-Layer Zero Skew Clock Routing in X-Architecture. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Omer Gurewitz, Israel Cidon, Moshe Sidi Network classless time protocol based on clock offset optimization. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF UTC, classless time protocol (CTP), measurements, network management, estimation, time synchronization, one-way delay
34Ezra N. Hoch, Danny Dolev, Ariel Daliot Self-stabilizing Byzantine Digital Clock Synchronization. Search on Bibsonomy SSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Shalabh Goyal, Abhijit Chatterjee, Mike Atia Reducing Sampling Clock Jitter to Improve SNR Measurement of A/D Converters in Production Test. Search on Bibsonomy ETS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Yiqun Li, Changsheng Xu, Kongwah Wan, Xin Yan 0001, Xinguo Yu Reliable Video Clock Time Recognition. Search on Bibsonomy ICPR (4) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Houman Zarrabi, Haydar Saaied, Asim J. Al-Khalili, Yvon Savaria Zero skew differential clock distribution network. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Xinjie Wei, Yici Cai, Xianlong Hong Clock Skew Scheduling Under Process Variations. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Mustafa Badaroglu, Piet Wambacq, Geert Van der Plas, Stéphane Donnay, Georges G. E. Gielen, Hugo De Man Digital ground bounce reduction by supply current shaping and clock frequency Modulation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Daniel González, Luis Parrilla 0001, Antonio García 0001, Encarnación Castillo, Antonio Lloris-Ruíz Efficient Clock Distribution Scheme for VLSI RNS-Enabled Controllers. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Hans M. Jacobson, Pradip Bose, Zhigang Hu, Alper Buyuktosunoglu, Victor V. Zyuban, Richard J. Eickemeyer, Lee Eisen, John Griswell, Doug Logan, Balaram Sinharoy, Joel M. Tendler Stretching the Limits of Clock-Gating Efficiency in Server-Class Processors. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Jeng-Liang Tsai, Charlie Chung-Ping Chen Process-variation robust and low-power zero-skew buffered clock-tree synthesis using projected scan-line sampling. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Martin Omaña 0001, Daniele Rossi 0001, Cecilia Metra Fast and Low-Cost Clock Deskew Buffer. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
34Kuo-Hsing Cheng, Shu-Yu Jiang, Zong-Shen Chen BIST for clock jitter measurements. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
34Omer Gurewitz, Israel Cidon, Moshe Sidi Network Time Synchronization Using Clock Offset Optimization. Search on Bibsonomy ICNP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
34Pilar Parra Fernández, Antonio J. Acosta 0001, Manuel Valencia-Barrero Selective Clock-Gating for Low Power/Low Noise Synchronous Counters 1. Search on Bibsonomy PATMOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
34Chao Xu, Frank Barber, Kenneth R. Laker, Jan Van der Spiegel Analysis of clock buffer phase noise. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
34Jing-Jia Liou, Li-C. Wang, Kwang-Ting Cheng, Jennifer Dworak, M. Ray Mercer, Rohit Kapur, Thomas W. Williams Analysis of Delay Test Effectiveness with a Multiple-Clock Scheme. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
34Jaewon Oh, Massoud Pedram Gated clock routing for low-power microprocessor design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
34Young-Su Kwon, Bong-Il Park, In-Cheol Park, Chong-Min Kyung A New Single-Clock Flip-Clop for Half-Swing Clocking. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
34Soumitra Bose, Prathima Agrawal, Vishwani D. Agrawal A rated-clock test method for path delay faults. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
34Les Hall, Mark Clements, Wentai Liu, Griff L. Bilbro Clock Distribution Using Cooperative Ring Oscillators. Search on Bibsonomy ARVLSI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
34Qing Zhu, Wayne Wei-Ming Dai High-speed clock network sizing optimization based on distributed RC and lossy RLC interconnect models. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
34Jae Chung, Chung-Kuan Cheng Skew sensitivity minimization of buffered clock tree. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
34Ren-Song Tsay An exact zero-skew clock routing algorithm. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
34Cecilia Metra, Stefano Di Francescantonio, Bruno Riccò, T. M. Mak Evaluation of Clock Distribution Networks' Most Likely Faults and Produced Effects. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF clock faults, testing, microprocessor, Clock distribution network
34Maheshwar Umasankar, Ahmed El-Amawy Generalized Algorithms for Systematic Synthesis of Branch-and-Combine Clock Networks for Meshes, Tori, and Hypercubes. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF skew bound, Branch-and-Combine, feature cycle length, hypercube, mesh, tile, torus, Clock skew, clock network
34Danny Dolev, Joseph Y. Halpern, Barbara Simons, H. Raymond Strong Dynamic Fault-Tolerant Clock Synchronization. Search on Bibsonomy J. ACM The full citation details ... 1995 DBLP  DOI  BibTeX  RDF time-of-day clock, fault-tolerance, clock synchronization, Byzantine failures
34Sherif A. Tawfik, Volkan Kursun Low-Power Low-Voltage Hot-Spot Tolerant Clocking with Suppressed Skew. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 10361 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license