The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for manufacturability with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1985-1992 (17) 1993-1996 (17) 1997-1999 (19) 2000-2001 (27) 2002-2003 (32) 2004 (32) 2005 (37) 2006 (31) 2007 (29) 2008 (34) 2009-2010 (20) 2011-2015 (19) 2016-2017 (17) 2018-2020 (16) 2021-2024 (15)
Publication types (Num. hits)
article(91) book(2) incollection(1) inproceedings(267) phdthesis(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 341 occurrences of 179 keywords

Results
Found 362 publication records. Showing 362 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
18Chunyang Feng, Hai Zhou 0001, Changhao Yan, Jun Tao 0001, Xuan Zeng 0001 Provably good and practically efficient algorithms for CMP dummy fill. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF covering linear programming, dummy fill problem, design for manufacturability
18Tung-Chieh Chen, Minsik Cho, David Z. Pan, Yao-Wen Chang Metal-density driven placement for cmp variation and routability. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF VLSI, placement, physical design, manufacturability
18Arthur Nieuwoudt, Jamil Kawa, Yehia Massoud Impact of dummy filling techniques on interconnect capacitance and planarization in nano-scale process technology. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF design for manufacturability, dummy fill
18Arthur Nieuwoudt, Jamil Kawa, Yehia Massoud Investigating the Impact of Fill Metal on Crosstalk-Induced Delay and Noise. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF fill generation, crosstalk, Design for manufacturability, dummy fill
18Hailong Jiao, Lan Chen Cellwise OPC Based on Reduced Standard Cell Library. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF cellwise OPC, reduced standard cell library, design for manufacturability
18Minsik Cho, Kun Yuan, Yongchan Ban, David Z. Pan ELIAD: efficient lithography aware detailed router with compact post-OPC printability prediction. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF routing, VLSI, manufacturability, OPC, lithography
18Payman Zarkesh-Ha, Ken Doniger Stochastic interconnect layout sensitivity model. Search on Bibsonomy SLIP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF critical area analysis, layout sensitivity, reliability, stochastic model, yield, design for manufacturability, defect density
18Philippe Magarshack Design challenges in 45nm and below: DFM, low-power and design for reliability. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF design for reliability, low-power design, design for manufacturability
18Kevin Lucas, Chi-Min Yuan, Robert Boone, Karl Wimmer, Kirk Strozewski, Olivier Toublan Logic Design for Printability Using OPC Methods. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF optical proximity correction (OPC), reticle enhancement technology (RET), design for manufacturability (DFM)
18Minsik Cho, David Z. Pan, Hua Xiang 0001, Ruchir Puri Wire density driven global routing for CMP variation and timing. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF performance, VLSI, manufacturability, global routing
18Huang-Yu Chen, Mei-Fang Chiang, Yao-Wen Chang, Lumdo Chen, Brian Han Novel full-chip gridless routing considering double-via insertion. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF redundant via insertion, routing, manufacturability
18Di Wu 0017, Jiang Hu, Rabi N. Mahapatra Coupling aware timing optimization and antenna avoidance in layer assignment. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF VLSI, interconnect, probabilistic modeling, physical design, crosstalk, design for manufacturability (DFM), antenna effect
18Murari Mani, Anirudh Devgan, Michael Orshansky An efficient algorithm for statistical minimization of total power under timing yield constraints. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF manufacturability, leakage, statistical optimization
18Naveed A. Sherwani, Susan Lippincott Mack, Alex Alexanian, Premal Buch, Carlo Guardiani, Harold Lehon, Peter Rabkin, Atul Sharan DFM rules! Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF design for manufacturability, yield optimization
18Puneet Gupta 0001, Andrew B. Kahng, Youngmin Kim, Dennis Sylvester Advanced Timing Analysis Based on Post-OPC Extraction of Critical Dimensions. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF ACLV, layout, manufacturability, compensation, variation, focus
18Matt Nowak, Riko Radojcic Are there economic benefits in DFM? Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF fabless, foundries, DFM, design for manufacturability
18Marco Ottavi, Xiaopeng Wang, Fred J. Meyer, Fabrizio Lombardi Simulation of reconfigurable memory core yield. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Markov chain, manufacturability, yield, defect tolerance
18Puneet Gupta 0001, Fook-Luen Heng Toward a systematic-variation aware timing methodology. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF ACLV, layout, manufacturability, OPC, lithography
18Lars Liebmann Layout impact of resolution enhancement techniques: impediment or opportunity? Search on Bibsonomy ISPD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF radically restricted designs, resolution enhancement techniques, design for manufacturability, lithography
18Puneet Gupta 0001, Andrew B. Kahng, Dennis Sylvester, Jie Yang 0010 A cost-driven lithographic correction methodology based on off-the-shelf sizing tools. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF VLSI manufacturability, yield, OPC, lithography, RET
18Yu Chen 0005, Andrew B. Kahng, Gabriel Robins, Alexander Zelikovsky Closing the smoothness and uniformity gap in area fill synthesis. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF VLSI manufacturability, density analysis, dummy fill problem, monte-carlo, chemical-mechanical polishing
18Vipul Singhal, C. B. Keshav, K. G. Surnanth, P. R. Suresh Transistor Flaring in Deep Submicron-Design Considerations. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Deep Submicron (DSM), pullback, photolithography, Subwavelength-lithography, Optical Proximity Correction (OPC), SPICE-models, standard-ce1l library, Design for Manufacturability (DFM)
18JungHyun Han, Inho Han Manufacturable feature recognition and its integration with process planning. Search on Bibsonomy Symposium on Solid Modeling and Applications The full citation details ... 1999 DBLP  DOI  BibTeX  RDF feature dependency, machining sequence, manufacturability, feature recognition, process planning
18Wojciech Maly, Pranab K. Nag, Charles H. Ouyang, Hans T. Heineken, Jitendra Khare, P. Simon Design-Manufacturing Interface: Part II - Applications. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF metal utilization, etch rate variation, manufacturability, yield, critical area, antenna effect
18Wojciech Maly, Pranab K. Nag, Hans T. Heineken, Jitendra Khare Design-Manufacturing Interface: Part I - Vision. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF design, synthesis, manufacturability, yield, design rules
18Gary Ellis, Lawrence T. Pileggi, Rob A. Rutenbar A hierarchical decomposition methodology for multistage clock circuits. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF performance driven router, routing, process variations, manufacturability, clock
12Jin Hu, Jarrod A. Roy, Igor L. Markov Completing high-quality global routes. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF global routing
12Bao Liu 0001 Reconfigurable double gate carbon nanotube field effect transistor based nanoelectronic architecture. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Sandor Markon, Satoshi Maekawa An improved optical device for floating displays. Search on Bibsonomy IUCS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dihedral corner reflector array, floating images, 3D display
12Nancy Ying Zhou, Rouwaida Kanj, Kanak Agarwal, Zhuo Li 0001, Rajiv V. Joshi, Sani R. Nassif, Weiping Shi The impact of BEOL lithography effects on the SRAM cell performance and yield. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Chun-Yu Chuang, Wai-Kei Mak Accurate closed-form parameterized block-based statistical timing analysis applying skew-normal distribution. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Hiroki Sunagawa, Haruhiko Terada, Akira Tsuchiya, Kazutoshi Kobayashi, Hidetoshi Onodera Erect of regularity-enhanced layout on printability and circuit performance of standard cells. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Chung-Wei Lin, Szu-Yu Chen, Chi-Feng Li, Yao-Wen Chang, Chia-Lin Yang Obstacle-Avoiding Rectilinear Steiner Tree Construction Based on Spanning Graphs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12YuHua Cheng A glance of technology efforts for design-for-manufacturing in nano-scale CMOS processes. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF nano-CMOS IC design, IC design methodology, CMOS design technology platform, design-for-manufacturing (DFM), design-for-yield
12Myungsu Choi, Minsu Choi Scalability of Globally Asynchronous QCA (Quantum-Dot Cellular Automata) Adder Design. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF QCA (quantum-dot cellular automata), Asynchronous architecture, Layout timing problem, Scalability, Robustness
12Jason Cong, Yi Zou Lithographic aerial image simulation with FPGA-based hardwareacceleration. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF co-processor acceleration, lithography simulation, FPGA
12Jason G. Brown, Brian Taylor, Ronald D. Blanton, Larry T. Pileggi Automated Testability Enhancements for Logic Brick Libraries. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Petr Mikusek, Vaclav Dvorak On Lookup Table Cascade-Based Realizations of Arbiters. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12David Z. Pan Synergistic modeling and optimization for nanometer IC design/manufacturing integration. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF design for manufacturing
12David Z. Pan Lithography friendly routing: from construct-by-correction to correct-by-construction. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF routing
12Michael D. Moffitt, Jarrod A. Roy, Igor L. Markov The coming of age of (academic) global routing. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF optimization, routing, VLSI, benchmarks, computer-aided design, congestion, global routing, wirelength
12David M. Krum, Jens Faenger, Brian Lathrop, Jo Ann G. Sison, Annie Lien All roads lead to CHI: interaction in the automobile. Search on Bibsonomy CHI Extended Abstracts The full citation details ... 2008 DBLP  DOI  BibTeX  RDF driver interaction, cars, automotive industry, driver information systems, special interest group
12Vaclav Dvorak Communication Performance of Mesh- and Ring-Based NoCs. Search on Bibsonomy ICN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Tera-scale platform, Networks on chip, collective communications
12Guo Yu, Peng Li 0001 Yield-aware hierarchical optimization of large analog integrated circuits. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Yi-Wei Lin, Malgorzata Marek-Sadowska, Wojciech Maly, Andrzej Pfitzner, Dominik Kasprowicz Is there always performance overhead for regular fabric? Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Dhruva Ghai, Saraju P. Mohanty, Elias Kougianos A process and supply variation tolerant nano-CMOS low voltage, high speed, a/d converter for system-on-chip. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF flash adc, nano-cmos, ti comparator, process variation, analog-to-digital converter, low voltage, high speed
12Srinivasa R. S. T. G, Srivatsava Jandhyala, Narahari Tondamuthuru R Process Variability Analysis in DSM Through Statistical Simulations and its Implications to Design Methodologies. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Design Methodologies, Random, DSM, Variations, Systematic
12Nathaniel J. August A Robust and Efficient Pre-Silicon Validation Environment for Mixed-Signal Circuits on Intel's Test Chips. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF pre-silicon, validation, mixed-signal
12Qing Su, Charles C. Chiang, Jamil Kawa Hotspot Based Yield Prediction with Consideration of Correlations. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Systematic Yield, DFM (design for manufacturing), correlation, Yield, Hotspot, Yield Prediction
12Fadi J. Kurdahi, Nikil D. Dutt, Ahmed M. Eltawil, Sani R. Nassif Cross-Layer Approaches to Designing Reliable Systems Using Unreliable Chips. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Sachin S. Sapatnekar, Eshel Haritan, Kurt Keutzer, Anirudh Devgan, Desmond Kirkpatrick, Stephen Meier, Duaine Pryor, Tom Spyrou Reinventing EDA with manycore processors. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF parallelization, CAD, software, multicore, EDA, speedup, manycore
12Tarek A. El-Moselhy, Ibrahim M. Elfadel, David Widiger Efficient algorithm for the computation of on-chip capacitance sensitivities with respect to a large set of parameters. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF sensitivity analysis, capacitance extraction, adjoint method
12Fan-Tien Cheng, Yeh-Tung Chen, Yu-Chuan Su, Deng-Lin Zeng Method for Evaluating Reliance Level of a Virtual Metrology System. Search on Bibsonomy ICRA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Rishi Bhooshan, Bindu P. Rao Optimum IR drop models for estimation of metal resource requirements for power distribution network. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Davide Pandini, Giuseppe Desoli, Alessandro Cremonesi Computing and design for software and silicon manufacturing. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Andrew B. Kahng Design challenges at 65nm and beyond. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Swarup Bhunia, Massood Tabib-Azar, Daniel G. Saab Ultralow-Power Reconfigurable Computing with Complementary Nano-Electromechanical Carbon Nanotube Switches. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF reconfigurable instant-on system, ultralow-power reconfigurable computing, complementary nanoelectromechanical carbon nanotube switches, coplanar carbon nanotubes, low operation voltages, built-in energy storage, CNEMS, stable on-off state, latching mechanism, nonvolatile memory-mode operation, CMOS transistors, system development, leakage current
12Jun-Fu Huang, Victor C. Y. Chang, Sally Liu, Kelvin Y. Y. Doong, Keh-Jeng Chang Modeling Sub-90nm On-Chip Variation Using Monte Carlo Method for DFM. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Chung-Wei Lin, Szu-Yu Chen, Chi-Feng Li, Yao-Wen Chang, Chia-Lin Yang Efficient obstacle-avoiding rectilinear steiner tree construction. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF routing, spanning tree, physical design, Steiner tree
12David M. Krum, Dietrich Manstetten, Clifford Nass, K. Venkatesh Prasad, Roberto Sicconi Taking CHI for a drive: interaction in the car. Search on Bibsonomy CHI Extended Abstracts The full citation details ... 2007 DBLP  DOI  BibTeX  RDF driver interaction, panel discussion, automotive industry, driver information systems
12Davide Pandini Innovative Design Platforms for Reliable SoCs in Advanced Nanometer Technologies. Search on Bibsonomy IOLTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Jamil Kawa, Charles C. Chiang DFM issues for 65nm and beyond. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF DFY, DFM
12Michele Favalli Delay Fault Detection Problems in Circuits Featuring a Low Combinational Depth. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Rishi Bhooshan Novel and Efficient IR-Drop Models for Designing Power Distribution Network for Sub-100nm Integrated Circuits. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Duane S. Boning, Karthik Balakrishnan, Hong Cai, Nigel Drego, Ali Farahanchi, Karen Gettings, Daihyun Lim, Ajay Somani, Hayden Taylor, Daniel Truque, Xiaolin Xie Variation. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12René Penning de Vries Systems, Nano-technology and SiP. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Sankara Hari Gopalakrishnan, Krishnan Suresh Estimating the impact of large design changes on field problems. Search on Bibsonomy Symposium on Solid and Physical Modeling The full citation details ... 2007 DBLP  DOI  BibTeX  RDF feature sensitivity, topological sensitivity, features, parametric optimization, design parameters
12Wojciech Maly, Yi-Wei Lin, Malgorzata Marek-Sadowska OPC-Free and Minimally Irregular IC Design Style. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Norbert Dumas, Florence Azaïs, Laurent Latorre, Pascal Nouet Electro-thermal Stimuli for MEMS Testing in FSBM Technology. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF bulk micromachining, electro-thermal stimuli, testing, defects, MEMS
12Sandip Kundu A design for failure analysis (DFFA) technique to ensure incorruptible signatures. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Kuang-Yao Lee, Ting-Chi Wang Post-routing redundant via insertion for yield/reliability improvement. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Ding-Ming Kwai, Ching-Hua Hsiao, Chung-Ping Kuo, Chi-Hsien Chuang, Min-Chung Hsu, Yi-Chun Chen, Yu-Ling Sung, Hsien-Yu Pan, Chia-Hsin Lee, Meng-Fan Chang, Yung-Fa Chou SRAM Cell Current in Low Leakage Design. Search on Bibsonomy MTDT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Jirí Jaros, Milos Ohlídal, Václav Dvorák Complexity of Collective Communications on NoCs. Search on Bibsonomy PARELEC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Xiaoping Tang, Xin Yuan Technology migration techniques for simplified layouts with restrictive design rules. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Anand Ramalingam, Gi-Joon Nam, Ashish Kumar Singh, Michael Orshansky, Sani R. Nassif, David Z. Pan An accurate sparse matrix based framework for statistical static timing analysis. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Dezhen Zhang, Tian Chang, Rui Li 0055, Xiaopeng Wei Evaluating design concepts using fuzzy arithmetic operations. Search on Bibsonomy ISDA (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Minsu Choi, Myungsu Choi, Zachary D. Patitz, Nohpill Park Efficient and Robust Delay-Insensitive QCA (Quantum-Dot Cellular Automata) Design. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Robert C. Aitken DFM Metrics for Standard Cells. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12C. Tabery, M. Craig, Gert Burbach, B. Wagner, S. McGowan, P. Etter, S. Roling, C. Haidinyak, E. Ehrichs Process Window and Device Variations Evaluation using Array-Based Characterization Circuits. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF transistor array, transistor matching, via yield, DOE ROM, novel test circuits, DFM
12Artur Balasinski Question: DRC or DfM ? Answer: FMEA and ROI. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Lawrence S. Melvin III, Daniel N. Zhang, Kirk J. Strozewski, Skye Wolfer The Use of the Manufacturing Sensitivity Model Forms to Comprehend Layout Manufacturing Robustness For Use During Device Design. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Rasit Onur Topaloglu Monte Carlo-Alternative Probabilistic Simulations for Analog Systems. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Amir Hossein Yamini, Vijaya Kumar Devabhaktuni Equivalent Circuit and Electromagnetic Based CAD Tool for Dual-Mode Ku-Band Elliptic and Butterworth Filters. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Rasit Onur Topaloglu Early, Accurate and Fast Yield Estimation through Monte Carlo-Alternative Probabilistic Behavioral Analog System Simulations. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Mircea R. Stan, Garrett S. Rose, Matthew M. Ziegler Hybrid CMOS/Molecular Electronic Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Sara McMains Design for manufacturing feedback at interactive rates. Search on Bibsonomy Symposium on Solid and Physical Modeling The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Vijay Pitchumani A Hitchhiker's Guide to the DFM Universe. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Xianlong Hong, Yici Cai, Hailong Yao, Duo Li DFM-aware Routing for Yield Enhancement. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12El-Sayed Aziz, Constantin Chassapis A decision-making framework model for design and manufacturing of mechanical transmission system development. Search on Bibsonomy Eng. Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Intelligent design, Internet, Knowledge-based system, Web-based system, Concurrent engineering, Gear
12Yu Chen 0005, Andrew B. Kahng, Gabriel Robins, Alexander Zelikovsky, Yuhong Zheng Compressible area fill synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Cristinel Ababei, Yan Feng, Brent Goplen, Hushrav Mogal, Tianpei Zhang, Kia Bazargan, Sachin S. Sapatnekar Placement and Routing in 3D Integrated Circuits. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF VLSI, Placement and routing
12Gang Xu, Ruiqi Tian, David Z. Pan, Martin D. F. Wong CMP aware shuttle mask floorplanning. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Kohei Miyase, Kenta Terashima, Seiji Kajihara, Xiaoqing Wen, Sudhakar M. Reddy On Improving Defect Coverage of Stuck-at Fault Tests. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Mehrdad Reshadi, Daniel Gajski A cycle-accurate compilation algorithm for custom pipelined datapaths. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF NISC, cycle-accurate compiler, scheduling
12Alex Yvart, Stefanie Hahmann, Georges-Pierre Bonneau Smooth Adaptive Fitting of 3D Models Using Hierarchical Triangular Splines. Search on Bibsonomy SMI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Jaeho Lee 0004, Joon Young Park, Deok-Soo Kim, Hyun-Chan Lee Triangular Prism Generation Algorithm for Polyhedron Decomposition. Search on Bibsonomy ICCSA (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Brian Stephen Smith, Sung Kyu Lim QCA channel routing with wire crossing minimization. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF QCA channel routing, weighted minimum feedback edge set, wire crossing minimization
12Vaclav Dvorak Scheduling Collective Communications on Wormhole Fat Cubes. Search on Bibsonomy SBAC-PAD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12R. Castagnetti, R. Venkatraman, Brandon Bartz, Carl Monzel, T. Briscoe, Andres Teene, S. Ramesh 0004 A High-Performance SRAM Technology With Reduced Chip-Level Routing Congestion for SoC. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Hua Xiang 0001, Kai-Yuan Chao, Martin D. F. Wong Exact Algorithms for Coupling Capacitance Minimization by Adding One Metal Layer. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Layer migration, Max-cut, Capacitance coupling
Displaying result #201 - #300 of 362 (100 per page; Change: )
Pages: [<<][1][2][3][4][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license