The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for yield with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1958-1969 (17) 1970-1976 (17) 1977-1981 (16) 1982-1984 (19) 1985-1986 (38) 1987 (22) 1988 (35) 1989 (53) 1990 (59) 1991 (39) 1992 (43) 1993 (72) 1994 (60) 1995 (88) 1996 (94) 1997 (118) 1998 (123) 1999 (173) 2000 (222) 2001 (236) 2002 (305) 2003 (348) 2004 (490) 2005 (595) 2006 (754) 2007 (815) 2008 (797) 2009 (499) 2010 (185) 2011 (123) 2012 (117) 2013 (95) 2014 (117) 2015 (128) 2016 (130) 2017 (132) 2018 (148) 2019 (171) 2020 (244) 2021 (235) 2022 (264) 2023 (286) 2024 (64)
Publication types (Num. hits)
article(3127) book(2) data(1) incollection(27) inproceedings(5401) phdthesis(28)
Venues (Conferences, Journals, ...)
Remote. Sens.(274) IEEE Trans. Comput. Aided Des....(196) Comput. Electron. Agric.(167) CoRR(146) DAC(141) ISQED(139) DFT(114) IGARSS(113) ITC(112) DATE(101) ICCAD(101) IEEE Trans. Very Large Scale I...(99) ASP-DAC(77) VTS(76) IEEE Trans. Image Process.(65) Sensors(63) More (+10 of total 1832)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 6138 occurrences of 3479 keywords

Results
Found 8586 publication records. Showing 8586 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
24Haile Yu, Qiang Xu 0001, Philip Heng Wai Leong On Timing Yield Improvement for FPGA Designs Using Architectural Symmetry. Search on Bibsonomy FPL The full citation details ... 2011 DBLP  DOI  BibTeX  RDF Architectural Symmetry, LE swap, FPGA, Timing Yield
24Jie Zhang 0007, Shashikanth Bobba, Nishant Patil, Albert Lin, H.-S. Philip Wong, Giovanni De Micheli, Subhasish Mitra Carbon nanotube correlation: promising opportunity for CNFET circuit yield enhancement. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF CNT correlation, carbon nanotube, yield optimization, CNT
24Andrew B. Kahng, Chul-Hong Park, Puneet Sharma, Qinke Wang Lens aberration aware placement for timing yield. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Layout, design for manufacturing, lithography, timing yield
24Jun Xie, Minghui Lu, Hui-zhen Liang, Peng Lin The Research and Application of BP Network Tracking Model for Forecasting Oil Well Yield. Search on Bibsonomy PACIIA (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF oil well yield, Roll Forecasting, Tracking Model, Artificial Neural Network, BP
24Melanie Po-Leen Ooi, Ye Chow Kuang, Chris Chan, Serge N. Demidenko Predictive Die-Level Reliability-Yield Modeling for Deep Sub-micron Devices. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF wafer testing, reliability, integrated circuits, burn-in, yield modelling
24Bogdan M. Maziarz, Vijay K. Jain Automatic Reconfiguration and Yield of the TESH Multicomputer Network. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF TESH, fault-tolerance, routing, VLSI, Interconnection networks, reconfiguration, redundancy, yield, hierarchical networks, manufacturing defects, parallel computing systems, ULSI
24Dimitris Nikolos, Haridimos T. Vergos On the Yield of VLSI Processors with On-Chip CPU Cache. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF on-chip CPU caches, partially good chips, Fault tolerance, yield enhancement
24Charles H. Stapper Improved Yield Models for Fault-Tolerant Memory Chips. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF fault-tolerant memory chips, defect-monitor data, redundant circuits, failure mechanisms, multivariate distributions, dynamic-random-access-memory, pragmatic approximation, fault tolerant computing, redundancy, DRAM chips, yield modeling, frequency distributions
24Gurindar S. Sohi Cache Memory Organization to Enhance the Yield of High-Performance VLSI Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF cache memory organization, high performance VLSI processors, tolerance of defects faults, linear RAMs, trace-driven simulation analysis, storage management chips, VLSI, yield, fault location, buffer storage, performance degradation, random-access storage, integrated memory circuits
24Michael C. Howells, Vinod K. Agarwal A Reconfiguration Scheme for Yield Enhancement of Large Area Binary Tree Architectures. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF large area binary tree architectures, reliability enhancement, partially global redundancy, programmable switches, VLSI, fault tolerant computing, computer architecture, trees (mathematics), yield enhancement, reconfiguration scheme
24Israel Koren, Dhiraj K. Pradhan Modeling the Effect of Redundancy on Yield and Performance of VLSI Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1987 DBLP  DOI  BibTeX  RDF Computational availability, fault tolerance, reliability, redundancy, VLSI designs, yield, wafer-scale integration
24Tülin Erdim Mangir, Algirdas Avizienis Fault-Tolerant Design for VLSI: Effect of Interconnect Requirements on Yield Improvement of VLSI Designs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1982 DBLP  DOI  BibTeX  RDF VLSI fault tolerance, Interconnect area estimates, redundancy partitioning, redundancy placement, regular designs, VLSI yield improvement
23Daniel K. Beece, Jinjun Xiong, Chandu Visweswariah, Vladimir Zolotov, Yifang Liu Transistor sizing of custom high-performance digital circuits with parametric yield considerations. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF custom circuits, optimization
23Giuseppe Nicosia, Giovanni Stracquadanio A Design-for-Yield Algorithm to Assess and Improve the Structural and Energetic Robustness of Proteins and Drugs. Search on Bibsonomy SEA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
23Gregory Lucas, Scott Cromar, Deming Chen FastYield: variation-aware, layout-driven simultaneous binding and module selection for performance yield optimization. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
23Nancy Ying Zhou, Rouwaida Kanj, Kanak Agarwal, Zhuo Li 0001, Rajiv V. Joshi, Sani R. Nassif, Weiping Shi The impact of BEOL lithography effects on the SRAM cell performance and yield. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
23Javid Jaffari, Mohab Anis Timing yield estimation of digital circuits using a control variate technique. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
23Jwu-E Chen, Pei-Wen Luo, Chin-Long Wey Yield evaluation of analog placement with arbitrary capacitor ratio. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
23Costas Argyrides, Ahmad A. Al-Yamani, Carlos Arthur Lang Lisbôa, Luigi Carro, Dhiraj K. Pradhan Increasing memory yield in future technologies through innovative design. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
23Jin Sun 0006, Jun Li 0066, Dongsheng Ma, Janet Meiling Wang Chebyshev Affine-Arithmetic-Based Parametric Yield Prediction Under Limited Descriptions of Uncertainty. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Xin Li 0001, Yaping Zhan, Lawrence T. Pileggi Quadratic Statistical MAX Approximation for Parametric Yield Estimation of Analog/RF Integrated Circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Jin Sun 0006, Yue Huang, Jun Li 0066, Janet Meiling Wang Chebyshev Affine Arithmetic based parametric yield prediction under limited descriptions of uncertainty. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Amit Goel, Sarvesh Bhardwaj, Praveen Ghanta, Sarma B. K. Vrudhula Computation of Joint Timing Yield of Sequential Networks Considering Process Variations. Search on Bibsonomy PATMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Paolo Azzoni, Massimo Bertoletti, Nicola Dragone, Franco Fummi, Carlo Guardiani, W. Vendraminetto Yield-aware placement optimization. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Bao Liu 0001, Andrew B. Kahng, Xu Xu 0001, Jiang Hu, Ganesh Venkataraman A Global Minimum Clock Distribution Network Augmentation Algorithm for Guaranteed Clock Skew Yield. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Vivek Joshi, David T. Blaauw, Dennis Sylvester Soft-edge flip-flops for improved timing yield: design and optimization. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Hyunjin Lee, Sangyeun Cho, Bruce R. Childers Exploring the interplay of yield, area, and performance in processor caches. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Subarna Sinha, Qing Su, Linni Wen, Frank Lee, Charles C. Chiang, Yi-Kan Cheng, Jin-Lien Lin, Yu-Chyi Harn A New Flexible Algorithm for Random Yield Improvement. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Uthman Alsaiari, Resve A. Saleh Power, Delay and Yield Analysis of BIST/BISR PLAs Using Column Redundancy. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Alfred L. Crouch, Phil Burlison, Dennis J. Ciplickas Processing High Volume Scan Test Results for Yield Learning. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Jin-Tai Yan, Bo-Yi Chiang Timing-Constrained Yield-Driven Wiring Reconstruction for Critical Area Minimization. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Rajeev R. Rao, Anirudh Devgan, David T. Blaauw, Dennis Sylvester Analytical yield prediction considering leakage/performance correlation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Lei-Ting Chen, David Lin, Dan Muuniz, Chia-Jiu Wang Wafer Yield Estimation Using Support Vector Machines. Search on Bibsonomy ISNN (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Kenneth L. Shepard, Daniel N. Maynard Variability and yield improvement: rules, models, and characterization. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Abhishek Somani, P. P. Chakrabarti 0001, Amit Patra A model-based hybrid evolutionary algorithm for fast yield-inclusive design space exploration of analog circuits. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Fadi J. Kurdahi, Ahmed M. Eltawil, Young-Hwan Park, Rouwaida Kanj, Sani R. Nassif System-Level SRAM Yield Enhancement. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Tong-Yu Hsieh, Kuen-Jong Lee, Melvin A. Breuer An Error-Oriented Test Methodology to Improve Yield with Error-Tolerance. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23A. Majid Awan, Mohd. Noor Md. Sap An Intelligent System Based on Kernel Methods for Crop Yield Prediction. Search on Bibsonomy PAKDD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Amit Agarwal 0001, Bipul Chandra Paul, Hamid Mahmoodi-Meimand, Animesh Datta, Kaushik Roy 0001 A process-tolerant cache architecture for improved yield in nanoscale technologies. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Saibal Mukhopadhyay, Hamid Mahmoodi-Meimand, Kaushik Roy 0001 Modeling of failure probability and statistical design of SRAM array for yield enhancement in nanoscaled CMOS. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Animesh Datta, Saibal Mukhopadhyay, Swarup Bhunia, Kaushik Roy 0001 Yield Prediction of High Performance Pipelined Circuit with Respect to Delay Failures in Sub-100nm Technology. Search on Bibsonomy IOLTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Samvel K. Shoukourian, Valery A. Vardanian, Yervant Zorian SoC Yield Optimization via an Embedded-Memory Test and Repair Infrastructure. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Mauro Olivieri, Mirko Scarana, Giuseppe Scotti, Alessandro Trifiletti Yield Optimization by Means of Process Parameters Estimation: Comparison Between ABB and ASV Techniques. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Nicola Dragone, Michele Quarantelli, Massimo Bertoletti, Carlo Guardiani High Yield Standard Cell Libraries: Optimization and Modeling. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Shanrui Zhang, Minsu Choi, Nohpill Park Modeling Yield of Carbon-Nanotube/Silicon-Nanowire FET-Based Nanoarray Architecture with h-hot Addressing Scheme. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Xiaopeng Wang, Marco Ottavi, Fred J. Meyer, Fabrizio Lombardi On The Yield of Compiler-Based eSRAMs. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Phillip Christie, José Pineda de Gyvez Prelayout interconnect yield prediction. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Bart De Smedt, Georges G. E. Gielen HOLMES: Capturing the Yield-Optimized Design Space Boundaries of Analog and RF Integrated Circuits. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Marko Kosunen, Jouko Vankka, Ilari Teikari, Kari Halonen DNL and INL yield models for a current-steering D/A converter. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Tao Feng, Nohpill Park, Yong-Bin Kim, Vincenzo Piuri Yield Modeling and Analysis of a Clockless Asynchronous Wave Pipeline with Pulse Faults. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Xiaopeng Wang, Marco Ottavi, Fabrizio Lombardi Yield Analysis of Compiler-Based Arrays of Embedded SRAMs. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Arman Vassighi, Oleg Semenov, Manoj Sachdev, Ali Keshavarzi Effect of Static Power Dissipation in Burn-In Environment on Yield of VLSI. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Bogdan M. Maziarz, Vijay K. Jain Yield Estimates for the TESH Multicomputer Network. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Gerard A. Allan Yield prediction by sampling IC layout. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
23Tianxu Zhao, Yue Hao, Yong-Chang Jiao VLSI Yield Optimization Based on the Sub-Processing-Element Level Redundancy. Search on Bibsonomy DFT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
23Tuna B. Tarim, Mohammed Ismail 0001 Functional yield enhancement and statistical design of a low power transconductor. Search on Bibsonomy ISCAS (2) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
23Ting Wu, Say Wei Foo An efficient method for parametric yield gradient estimation. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
23Brian Murphy Modelling the Yield of Number Field Sieve Polynominals. Search on Bibsonomy ANTS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
23Israel Koren, Zahava Koren Yield and Routing Objectives in Floorplanning. Search on Bibsonomy DFT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
23Zahava Koren, Israel Koren On the effect of floorplanning on the yield of large area integrated circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
23Witold A. Pleskacz, Wojciech Maly Improved Yield Model for Submicron Domain. Search on Bibsonomy DFT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
23Neil J. Howard, Andrew M. Tyrrell, Nigel M. Allinson The yield enhancement of field-programmable gate arrays. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
23Chin-Long Wey, Jyhyeung Ding, Tsin-Yuan Chang Design of Repairable and Fully Diagnosable Folded PLAs for Yield Enhancement. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
23Max Dauchet, Erick Timmerman Decidability of yield's equality for infinite regular trees. Search on Bibsonomy Automata on Infinite Words The full citation details ... 1984 DBLP  DOI  BibTeX  RDF
22Dessislava Ganeva, Eugenia Roumenina, Petar Dimitrov, Alexander Gikov, Violeta Bozhanova, Rangel Dragov, Georgi Jelev, Krasimira Taneva Preharvest Durum Wheat Yield, Protein Content, and Protein Yield Estimation Using Unmanned Aerial Vehicle Imagery and Pléiades Satellite Data in Field Breeding Experiments. Search on Bibsonomy Remote. Sens. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
22Varvara Voinarovska, Mikhail Kabeshov, Dmytro Dudenko, Samuel Genheden, Igor V. Tetko When Yield Prediction Does Not Yield Prediction: An Overview of the Current Challenges. Search on Bibsonomy J. Chem. Inf. Model. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
22Greg Lyle, Kenneth D. Clarke, Adam D. Kilpatrick, David McCulloch Summers, Bertram Ostendorf A Spatial and Temporal Evaluation of Broad-Scale Yield Predictions Created from Yield Mapping Technology and Landsat Satellite Imagery in the Australian Mediterranean Dryland Cropping Region. Search on Bibsonomy ISPRS Int. J. Geo Inf. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
22Nicoleta Darra, Borja Espejo-García, Aikaterini Kasimati, Olga Kriezi, Emmanouil Psomiadis, Spyros Fountas Can Satellites Predict Yield? Ensemble Machine Learning and Statistical Analysis of Sentinel-2 Imagery for Processing Tomato Yield Prediction. Search on Bibsonomy Sensors The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
22Patrick Helber, Benjamin Bischke, Peter Habelitz, Cristhian Sanchez, Deepak Pathak, Miro Miranda, Hiba Najjar, Francisco Alejandro Mena, Jayanth Siddamsetty, Diego Arenas, Michaela Vollmer, Marcela Charfuelan, Marlon Nuske, Andreas Dengel 0001 Crop Yield Prediction: An Operational Approach to Crop Yield Modeling on Field and Subfield Level with Machine Learning Models. Search on Bibsonomy IGARSS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
22Qi Zhang, Kaiyi Wang, Yanyun Han, Zhongqiang Liu, Feng Yang, Shufeng Wang, Xiangyu Zhao 0004, Chunjiang Zhao 0001 A crop variety yield prediction system based on variety yield data compensation. Search on Bibsonomy Comput. Electron. Agric. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
22Tanuj Misra, Alka Arora, Sudeep Marwaha, Ranjeet Ranjan Jha, Mrinmoy Ray, Shailendra Kumar, Sudhir Kumar 0003, Viswanathan Chinnusamy Yield-SpikeSegNet: An Extension of SpikeSegNet Deep-Learning Approach for the Yield Estimation in the Wheat Using Visual Images. Search on Bibsonomy Appl. Artif. Intell. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
22Renata Duffková, Lucie Poláková, Vojtech Lukas, Petr Fucík The Effect of Controlled Tile Drainage on Growth and Grain Yield of Spring Barley as Detected by UAV Images, Yield Map and Soil Moisture Content. Search on Bibsonomy Remote. Sens. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
22Shuo Chen, Weihang Liu, Puyu Feng, Tao Ye, Yuchi Ma, Zhou Zhang 0001 Improving Spatial Disaggregation of Crop Yield by Incorporating Machine Learning with Multisource Data: A Case Study of Chinese Maize Yield. Search on Bibsonomy Remote. Sens. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
22Sumathi M., M. Rajkamal, S. P. Raja 0001, M. Venkatachalapathy, N. Vijayaraj A crop yield prediction model based on an improved artificial neural network and yield monitoring using a blockchain technique. Search on Bibsonomy Int. J. Wavelets Multiresolution Inf. Process. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
22Mamunur Rashid, Bifta Sama Bari, Yusri Yusup, Mohamad Anuar Kamaruddin, Nuzhat Khan A Comprehensive Review of Crop Yield Prediction Using Machine Learning Approaches With Special Emphasis on Palm Oil Yield Prediction. Search on Bibsonomy IEEE Access The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
22Dong Han, Pengxin Wang, Kevin Tansey, Shuyu Zhang 0001, Huiren Tian, Yue Zhang, Hongmei Li Improving Wheat Yield Estimates by Integrating a Remotely Sensed Drought Monitoring Index Into the Simple Algorithm for Yield Estimate Model. Search on Bibsonomy IEEE J. Sel. Top. Appl. Earth Obs. Remote. Sens. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
22Luis G. Riera, Matthew E. Carroll, Zhisheng Zhang, Johnathon M. Shook, Sambuddha Ghosal, Tianshuang Gao, Arti Singh, Sourabh Bhattacharya, Baskar Ganapathysubramanian, Asheesh K. Singh, Soumik Sarkar Deep Multi-view Image Fusion for Soybean Yield Estimation in Breeding Applications Deep Multi-view Image Fusion for Soybean Yield Estimation in Breeding Applications. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
22José A. Iglesias, Gwenael Mercier, Emad Chaparian, Ian A. Frigaard Computing the Yield Limit in Three-dimensional Flows of a Yield Stress Fluid About a Settling Particle. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
22Jenelle White, Aaron A. Berg, Catherine Champagne, Yinsuo Zhang, Aston Chipanshi, Bahram Daneshfar Improving crop yield forecasts with satellite-based soil moisture estimates: An example for township level canola yield forecasts over the Canadian Prairies. Search on Bibsonomy Int. J. Appl. Earth Obs. Geoinformation The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
22Sergii Skakun, Eric F. Vermote, Belen Franch, Jean-Claude Roger, Nataliia Kussul, Junchang Ju, Jeffrey G. Masek Winter Wheat Yield Assessment from Landsat 8 and Sentinel-2 Data: Incorporating Surface Reflectance, Through Phenological Fitting, into Regression Yield Models. Search on Bibsonomy Remote. Sens. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
22Li Yu, Jun Pei, Xinbao Liu, Wenjuan Fan, Panos M. Pardalos The effect of yield rate in a general price-setting newsvendor model with a yield-dependent secondary market. Search on Bibsonomy Int. Trans. Oper. Res. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
22Anil Suat Terliksiz, D. Turgay Altýlar Use Of Deep Neural Networks For Crop Yield Prediction: A Case Study Of Soybean Yield in Lauderdale County, Alabama, USA. Search on Bibsonomy Agro-Geoinformatics The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
22Mengshuo Wang, Wenlong Lv, Fan Yang 0001, Changhao Yan, Wei Cai 0003, Dian Zhou, Xuan Zeng 0001 Efficient Yield Optimization for Analog and SRAM Circuits via Gaussian Process Regression and Adaptive Yield Estimation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
22Paolo Cosmo Silvestro, Stefano Pignatti, Simone Pascucci, Hao Yang 0009, Zhenhai Li, Guijun Yang, Wenjiang Huang, Raffaele Casa Estimating Wheat Yield in China at the Field and District Scale from the Assimilation of Satellite Data into the Aquacrop and Simple Algorithm for Yield (SAFY) Models. Search on Bibsonomy Remote. Sens. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
22Lakesh K. Sharma, Sukhwinder K. Bali, James D. Dwyer, Andrew B. Plant, Arnab Bhowmik A Case Study of Improving Yield Prediction and Sulfur Deficiency Detection Using Optical Sensors and Relationship of Historical Potato Yield with Weather Data in Maine. Search on Bibsonomy Sensors The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
22Amin Aslani, Ata Allah Taleizadeh, Simone Zanoni An EOQ model with partial backordering with regard to random yield: two strategies to improve mean and variance of the yield. Search on Bibsonomy Comput. Ind. Eng. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
22Renzhong Song, Tao Cheng 0003, Xia Yao, Yongchao Tian, Yan Zhu 0005, Weixing Cao Evaluation of Landsat 8 time series image stacks for predicitng yield and yield components of winter wheat. Search on Bibsonomy IGARSS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
22Yu Huang 0005, Wu Yang, Wu-Tung Cheng Advancements in diagnosis driven yield analysis (DDYA): A survey of state-of-the-art scan diagnosis and yield analysis technologies. Search on Bibsonomy ETS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
22Junichi Hirase Introduction of Yield Quadrant and Yield Capability Index for VLSI Manufacturing. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
22Yang Xu 0019, Bo Wang 0010, Jürgen Teich Parametric yield optimization using leakage-yield-driven floorplanning. Search on Bibsonomy PATMOS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
22Juanjuan Dai, Yaojian Wu, Yurong Ouyang Calculations of the National Average Yield, Equivalence Factor and Yield Factor in Ten Years Based on National Hectares' Ecological Footprint Model - A Case Study of Xiamen City. Search on Bibsonomy GRMSE The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
22Eshan Singh Modeling location based wafer die yield variation in estimating 3D stacked IC yield from wafer to wafer stacking. Search on Bibsonomy VTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
22Felix Rembold, Clement Atzberger, Igor V. Savin, Oscar Rojas Using Low Resolution Satellite Imagery for Yield Prediction and Yield Anomaly Detection. Search on Bibsonomy Remote. Sens. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
22Felix Rembold, Clement Atzberger, Igor V. Savin, Oscar Rojas Correction: Rembold, F.; Atzberger, C.; Savin, I.; Rojas, O. Using Low Resolution Satellite Imagery for Yield Prediction and Yield Anomaly Detection. RemoteSens 2013, 5, 1704-1733. Search on Bibsonomy Remote. Sens. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
22Eun Ju Hwang, Wook Kim, Young Hwan Kim Timing Yield Slack for Timing Yield-Constrained Optimization and Its Application to Statistical Leakage Minimization. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
22Seyyed Hassan Khalilinezhad, Akram Reza, Midia Reshadi Yield modeling and yield-aware mapping for application specific networks-on-chip. Search on Bibsonomy NORCHIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Wei Tian, Zhensheng Guo, Yanxia Liu, Shenju Zhang Research on the Yield Formation Characteristics of Zheng-Wheat 7698 under the Super-High Yield Condition. Search on Bibsonomy ICDMA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Yuanjie He, Jiang Zhang Random yield supply chain with a yield dependent secondary market. Search on Bibsonomy Eur. J. Oper. Res. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
22Mohammad Mirza-Aghatabar, Melvin A. Breuer, Sandeep K. Gupta 0001 Algorithms to maximize yield and enhance yield/area of pipeline circuitry by insertion of switches and redundant modules. Search on Bibsonomy DATE The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
22Mohammad Mirza-Aghatabar, Melvin A. Breuer, Sandeep K. Gupta 0001 SIRUP: Switch Insertion in RedUndant Pipeline Structures for Yield and Yield/Area Improvement. Search on Bibsonomy Asian Test Symposium The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 8586 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license