The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for ASIP with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1993-1997 (15) 1998-2000 (24) 2001-2002 (20) 2003-2004 (36) 2005 (29) 2006 (37) 2007 (32) 2008 (31) 2009 (23) 2010-2011 (31) 2012 (18) 2013 (18) 2014 (17) 2015 (16) 2016-2017 (20) 2018-2021 (15) 2022-2024 (9)
Publication types (Num. hits)
article(91) book(1) incollection(2) inproceedings(293) phdthesis(4)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 322 occurrences of 165 keywords

Results
Found 391 publication records. Showing 391 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
18Manuel Hohenauer, Felix Engel 0001, Rainer Leupers, Gerd Ascheid, Heinrich Meyr A SIMD optimization framework for retargetable compilers. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF SIMD, vectorization, ASIP, subword parallelism, retargetable compilers
18Teemu Pitkänen, Jarno K. Tanskanen, Risto Mäkinen, Jarmo Takala Parallel Memory Architecture for Application-Specific Instruction-Set Processors. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF TTA, Transport triggered architecture, Low power, ASIP, Application-specific instruction-set processors, Parallel memory
18Nicolas Beucher, Normand Bélanger, Yvon Savaria, Guy Bois High Acceleration for Video Processing Applications Using Specialized Instruction Set Based on Parallelism and Data Reuse. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF ASIP, Acceleration, Video processing, Data reuse
18Lennart Yseboodt, Michael De Nil, Jos Huisken, Mladen Berekovic, Qin Zhao, Frank Bouwens, Jos Hulzink, Jef L. van Meerbergen Design of 100 µW Wireless Sensor Nodes for Biomedical Monitoring. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Low power, ASIP, ECG, Clock gating, Wireless sensor node
18Mathieu Allard, Patrick Grogan, Jean-Pierre David A Scalable Architecture for Multivariate Polynomial Evaluation on FPGA. Search on Bibsonomy ReConFig The full citation details ... 2009 DBLP  DOI  BibTeX  RDF High performance computing, ASIP, multivariate, Polynomial evaluation
18Ya-Shuai Lü, Li Shen 0007, Zhiying Wang 0003, Nong Xiao Dynamically utilizing computation accelerators for extensible processors in a software approach. Search on Bibsonomy CODES+ISSS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF computation accelerator, ASIP, dynamic binary translation
18Peter Yiannacouras, J. Gregory Steffan, Jonathan Rose Fine-grain performance scaling of soft vector processors. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF VESPA, soft vector processor, viram, FPGA, custom, SIMD, vector, ASIP, microarchitecture, application specific, soft processor
18Unmesh D. Bordoloi, Huynh Phung Huynh, Samarjit Chakraborty, Tulika Mitra Evaluating design trade-offs in customizable processors. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multi-objective design space exploration, pareto-optimal curve, processor customization, ASIP
18Jae Hyun Baek, Sung Dae Kim, Myung Hoon Sunwoo SPOCS: Application Specific Signal Processor for OFDM Communication Systems. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF ASSP, Communications, FFT, DSP, OFDM, Application-Specific Instruction-Set Processor (ASIP), Bit manipulation
18Peter Yiannacouras, J. Gregory Steffan, Jonathan Rose VESPA: portable, scalable, and flexible FPGA-based vector processors. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF SPREE, VESPA, VIRAM, FPGA, custom, SIMD, vector, ASIP, microarchitecture, application specific, soft processor
18Kang Zhao, Jinian Bian, Sheqin Dong, Yang Song 0002, Satoshi Goto HyMacs: hybrid memory access optimization based on custom-instruction scheduling. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF asip, cad algorithm, hardware/software co-design
18Mehrdad Reshadi, Bita Gorjiara, Daniel Gajski C-based design flow: a case study on G.729A for voice over internet protocol (VoIP). Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF C-based design flow, C-to-RTL, G729A, NISC, HLS, VoIP, ASIP
18Bita Gorjiara, Daniel Gajski Automatic architecture refinement techniques for customizing processing elements. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF GNR, nanocoded architectures, no-instruction-set computer (NISC), refinement, high-level synthesis, power, ASIP, datapath, netlist
18Lars Bauer, Muhammad Shafique 0001, Jörg Henkel Run-time instruction set selection in a transmutable embedded processor. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF extensible embedded processors, special instruction, reconfigurable computing, ASIP, run-time adaptation
18Anup Gangwar, M. Balakrishnan, Preeti Ranjan Panda, Anshul Kumar Evaluation of Bus Based Interconnect Mechanisms in Clustered VLIW Architectures. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Performance evaluation, VLIW, ASIP, Clustered VLIW processors
18Jong-eun Lee, Kiyoung Choi, Nikil D. Dutt Instruction set synthesis with efficient instruction encoding for configurable processors. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF ISA customization and specialization, bitwidth-economical, Application-specific instruction set processor (ASIP), configurable processor, instruction encoding
18Anup Gangwar, M. Balakrishnan, Anshul Kumar Impact of intercluster communication mechanisms on ILP in clustered VLIW architectures. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF performance evaluation, VLIW, ASIP, clustered VLIW processors
18Hirofumi Iwato, Keishi Sakanushi, Yoshinori Takeuchi, Masaharu Imai A low power VLIW processor generation method by means of extracting non-redundant activation conditions. Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF low power, ASIP, clock gating, VLIW processor
18Hanno Scharwächter, Jonghee M. Youn, Rainer Leupers, Yunheung Paek, Gerd Ascheid, Heinrich Meyr A code-generator generator for multi-output instructions. Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF ISS, code-selection, compiler/architecture co-design, ASIP
18I-Wei Wu, Shih-Chia Huang, Chung-Ping Chung, Jean Jyh-Jiun Shann Instruction Set Extension Generation with Considering Physical Constraints. Search on Bibsonomy HiPEAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Pipestage Timing Constraint, ASIP, Instruction set extension, Extensible Processors
18Peter Yiannacouras, J. Gregory Steffan, Jonathan Rose Application-specific customization of soft processor microarchitecture. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Nios, RTL generation, SPREE, FPGA, customization, embedded processor, ASIP, microarchitecture, application specific, soft processor
18Swarnalatha Radhakrishnan, Hui Guo 0001, Sri Parameswaran, Aleksandar Ignjatovic Application specific forwarding network and instruction encoding for multi-pipe ASIPs. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF multi-pipe ASIP, VLIW, forwarding, instruction encoding
18David E. Taylor, Andreas Herkersdorf, Andreas C. Döring, Gero Dittmann Robust header compression (ROHC) in next-generation network processors. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF ROHC, hardware assist, FPGA, ASIC, network processor, ASIP, reconfigurable hardware, header compression
18Partha Biswas, Nikil D. Dutt Code Size Reduction in Heterogeneous-Connectivity-Based DSPs Using Instruction Set Extensions. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF DSP, VLIW, ASIP, Coprocessors, instruction set extensions, code size reduction
18Jason Cong, Yiping Fan, Guoling Han, Ashok Jagannathan, Glenn Reinman, Zhiru Zhang Instruction set extension with shadow registers for configurable processors. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF shadow register, compilation, ASIP, configurable processor
18Peter Yiannacouras, Jonathan Rose, J. Gregory Steffan The microarchitecture of FPGA-based soft processors. Search on Bibsonomy CASES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Nios, RTL generation, SPREE, application specic tradeoff, FPGA, pipeline, exploration, embedded processor, ASIP, microarchitecture, soft processor
18Özgün Paker, Jens Sparsø, Niels Haandbæk, Mogens Isager, Lars Skovby Nielsen A Low-Power Heterogeneous Multiprocessor Architecture for Audio Signal Processing. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF ASIP-application specific instruction set processor, low power, multiprocessor, heterogeneous, scalable architecture, audio signal processing
18Jason Cong, Yiping Fan, Guoling Han, Zhiru Zhang Application-specific instruction generation for configurable processor architectures. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF binate covering, compilation, ASIP, technology mapping, configurable processor
18Timothy Sherwood, Mark Oskin, Brad Calder Balancing design options with Sherpa. Search on Bibsonomy CASES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF peicewise linear model, computer architecture, design space exploration, application specific processor (ASIP), area minimization
18Jong-eun Lee, Kiyoung Choi, Nikil D. Dutt Energy-efficient instruction set synthesis for application-specific processors. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF low power, customization, application-specific instruction set processor (ASIP), instruction encoding, energy-delay product
18Dirk Fischer 0001, Jürgen Teich, Michael Thies, Ralph Weper Efficient architecture/compiler co-exploration for ASIPs. Search on Bibsonomy CASES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF architecture/compiler codesign, multiobjective design space exploration, ASIP, retargetable compilation
18Oliver Schliebusch, Andreas Hoffmann 0002, Achim Nohl, Gunnar Braun, Heinrich Meyr Architecture Implementation Using the Machine Description Language LISA. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Design, Implementation, Synthesis, VHDL, Exploration, SystemC, ASIP, Verilog, LISA
18Shay Ping Seng, Wayne Luk, Peter Y. K. Cheung Flexible instruction processors. Search on Bibsonomy CASES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF instruction processors, high-level synthesis, ASIP
18Valentina Salapura, Michael Gschwind Hardware/Software Co-Design of a Fuzzy RISC Processor. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF hardware/software co-evaluation, processor core, MIPS RISC processor, fuzzy processing, fuzzy rule evaluation, instruction set definition, performance evaluation, VHDL, logic synthesis, application specific instruction set processor (ASIP), hardware/software co-design, instruction set architecture, subword parallelism
13Grant Martin Processor Stew (review of Processor Description Languages by P. Mishra and N. Dutt, Eds.; 2008) [Book reviews]. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Kingshuk Karuri, Rainer Leupers, Gerd Ascheid, Heinrich Meyr A Generic Design Flow for Application Specific Processor Customization through Instruction-Set Extensions (ISEs). Search on Bibsonomy SAMOS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Lars Bauer, Muhammad Shafique 0001, Jörg Henkel Efficient Resource Utilization for an Extensible Processor Through Dynamic Instruction Set Adaptation. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13William N. Chelton, Mohammed Benaissa Fast Elliptic Curve Cryptography on FPGA. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Muhammad Rashid, Ludovic Apvrille, Renaud Pacalet Evaluation of ASIPs Design with LISATek. Search on Bibsonomy SAMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF LISATek, ASIPs, JPEG, Customized Instructions
13Timo Vogt, Norbert Wehn A Reconfigurable Application Specific Instruction Set Processor for Convolutional and Turbo Decoding in a SDR Environment. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Björn Franke Fast cycle-approximate instruction set simulation. Search on Bibsonomy SCOPES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13José Luis Núñez-Yáñez, Eddie Hung, Vassilios A. Chouliaras A configurable and programmable motion estimation processor for the H.264 video codec. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Muhammad Rashid, Ludovic Apvrille, Renaud Pacalet Application Specific Processors for Multimedia Applications. Search on Bibsonomy CSE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Sebastien Fontaine, Sylvain Goyette, J. M. Pierre Langlois, Guy Bois Acceleration of a 3D target tracking algorithm using an application specific instruction set processor. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Steve Leibson, Grant Martin Design and verification of complex SoC with configurable, extensible processors. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Paolo Bonzini, Dilek Harmanci, Laura Pozzi A Study of Energy Saving in Customizable Processors. Search on Bibsonomy SAMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Anupam Chattopadhyay, W. Ahmed, Kingshuk Karuri, David Kammler, Rainer Leupers, Gerd Ascheid, Heinrich Meyr Design space exploration of partially re-configurable embedded processors. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Ajay Kumar Verma, Philip Brisk, Paolo Ienne Rethinking custom ISE identification: a new processor-agnostic method. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF ISE identification, custom processors, maximal cluster
13Peter Hallschmid, Resve A. Saleh Automatic Cache Tuning for Energy-Efficiency using Local Regression Modeling. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Jianjiang Ceng, Weihua Sheng, Manuel Hohenauer, Rainer Leupers, Gerd Ascheid, Heinrich Meyr, Gunnar Braun Modeling Instruction Semantics in ADL Processor Descriptions for C Compiler Retargeting. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF C compiler retargeting, embedded processor design, architecture description language, processor model, electronic system level
13Perttu Salmela, Pekka Jääskeläinen, Tuomas Järvinen, Jarmo Takala Software Pipelining Support for Transport Triggered Architecture Processors. Search on Bibsonomy SAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Shaahin Hessabi, Mehdi Modarressi, Maziar Goudarzi, Hani JavanHemmat A Table-Based Application-Specific Prefetch Engine for Object-Oriented Embedded Systems. Search on Bibsonomy ICSAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Swarnalatha Radhakrishnan, Hui Guo 0001, Sri Parameswaran Customization of application specific heterogeneous multi-pipeline processors. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13William N. Chelton, Mohammed Benaissa High-Speed Pipelined EGG Processor on FPGA. Search on Bibsonomy SiPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Timo Vogt, Norbert Wehn A Reconfigurable Applcation Specific Instruction Set Processor for Viterbi and Log-MAP Decoding. Search on Bibsonomy SiPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Kwang Woo Lee, Sung Dae Kim, Myung Hoon Sunwoo VSIP : Video Specific Instruction Set Processor for H.264/AVC. Search on Bibsonomy SiPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Tim Good, Mohammed Benaissa AES as stream cipher on a small FPGA. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Pablo Ituero, Marisa López-Vallejo New Schemes in Clustered VLIW Processors Applied to Turbo Decoding. Search on Bibsonomy ASAP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Mehdi Modarressi, Shaahin Hessabi, Maziar Goudarzi A Reconfigurable Cache Architecture for Object-Oriented Embedded Systems. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Eugene Hyun, Mihai Sima, Michael McGuire Reconfigurable Implementation of Wavelet Transform on an Fpga-Augmented NIOS Processor. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Mehdi Modarressi, Shaahin Hessabi, Maziar Goudarzi A Data Prefetching Mechanism for Object-Oriented Embedded Systems Using Run-Time Profiling. Search on Bibsonomy DELTA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Sung Dae Kim, Choong Jin Hyun, Myung Hoon Sunwoo VSIP : Implementation of Video Specific Instruction-set Processor. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Hoonmo Yang, Moonkey Lee Embedded Processor Validation Environment Using a Cycle-Accurate Retargetable Instruction-Set Simulator. Search on Bibsonomy J. Supercomput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF cycle-accurate, validation, system-on-chip (SoC), architecture description language (ADL), retargetable, instruction-set simulator
13Shengqi Yang, Wayne H. Wolf, Narayanan Vijaykrishnan Power and Performance Analysis of Motion Estimation Based on Hardware and Software Realizations. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF performance optimization, power modeling, Motion estimation algorithm
13Wei Qin, Sharad Malik A Study of Architecture Description Languages from a Model-based Perspective. Search on Bibsonomy MTV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Giuseppe Ascia, Vincenzo Catania, Maurizio Palesi, Davide Patti A system-level framework for evaluating area/performance/power trade-offs of VLIW-based embedded systems. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Roshan G. Ragel, Sri Parameswaran, Sayed Mohammad Kia Micro embedded monitoring for security in application specific instruction-set processors. Search on Bibsonomy CASES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF micro embedded monitoring, microinstructions, self-monitoring instructions, application specific instruction-set processors, security monitoring
13Oliver Schliebusch, Anupam Chattopadhyay, Ernst Martin Witte, David Kammler, Gerd Ascheid, Rainer Leupers, Heinrich Meyr Optimization Techniques for ADL-Driven RTL Processor Synthesis. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Alberto Ferrante, Giuseppe Piscopo, Stefano Scaldaferri Application-Driven Optimization of VLIW Architectures: A Hardware-Software Approach. Search on Bibsonomy IEEE Real-Time and Embedded Technology and Applications Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Mohammad Mostafizur Rahman Mozumdar, Kingshuk Karuri, Anupam Chattopadhyay, Stefan Kraemer, Hanno Scharwächter, Heinrich Meyr, Gerd Ascheid, Rainer Leupers Instruction Set Customization of Application Specific Processors for Network Processing: A Case Study. Search on Bibsonomy ASAP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Aleksandar Beric, Ramanathan Sethuraman, Jef L. van Meerbergen, Gerard de Haan Memory-Centric Motion Estimator. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Jianjiang Ceng, Weihua Sheng, Manuel Hohenauer, Rainer Leupers, Gerd Ascheid, Heinrich Meyr, Gunnar Braun Modeling Instruction Semantics in ADL Processor Descriptions for C Compiler Retargeting. Search on Bibsonomy SAMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Gero Dittmann Organizing Libraries of DFG Patterns. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Yuki Kobayashi, Shinsuke Kobayashi, Koji Okuda, Keishi Sakanushi, Yoshinori Takeuchi, Masaharu Imai Synthesizable HDL generation method for configurable VLIW processors. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Ravi Saini, Pramod Tanwar, A. S. Mandal, S. C. Bose, Raj Singh, Chandra Shekhar 0001 Design of an Application Specific Instruction Set Processor for Parametric Speech Synthesis. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Gunnar Braun, Andreas Wieferink, Oliver Schliebusch, Rainer Leupers, Heinrich Meyr, Achim Nohl Processor/Memory Co-Exploration on Multiple Abstraction Levels. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13Achim Nohl, Volker Greive, Gunnar Braun, Andreas Hoffmann 0002, Rainer Leupers, Oliver Schliebusch, Heinrich Meyr Instruction encoding synthesis for architecture exploration using hierarchical processor models. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF instruction set architectures, instruction encoding
13Akira Kitajima, Toshiyuki Sasaki, Yoshinori Takeuchi, Masaharu Imai Design of Application Specific CISC Using PEAS-III. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
13Jin-Hyuk Yang, Byoung-Woon Kim, Sang-Joon Nam, Young-Su Kwon, Dae-Hyun Lee, Jong-Yeol Lee, Chan-Soo Hwang, Yong Hoon Lee, Seung Ho Hwang, In-Cheol Park, Chong-Min Kyung MetaCore: an application-specific programmable DSP development system. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
13V. A. Zivkovic, Ronald J. W. T. Tangelder, Hans G. Kerkhoff Design and Test Space Exploration of Transport-Triggered Architectures. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
13Indradeep Ghosh, Anand Raghunathan, Niraj K. Jha Hierarchical test generation and design for testability methods for ASPPs and ASIPs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
13Daniel Benyamin, William H. Mangione-Smith Function unit specialization through code analysis. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
13S. Ramanathan, V. Visvanathan, S. K. Nandy 0001 Synthesis of Configurable Architectures for DSP Algorithms. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
13Jin-Hyuk Yang, Byoung-Woon Kim, Sang-Jun Nam, Jang-Ho Cho, Sung-Won Seo, Chang-Ho Ryu, Young-Su Kwon, Dae-Hyun Lee, Jong-Yeol Lee, Jong-Sun Kim, Hyun-Dhong Yoon, Jae-Yeol Kim, Kun-Moo Lee, Chan-Soo Hwang, In-Hyung Kim, Jun Sung Kim, Kwang-Il Park, Kyu Ho Park, Yong Hoon Lee, Seung Ho Hwang, In-Cheol Park, Chong-Min Kyung MetaCore: An Application Specific DSP Development System. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF high-level synthesis, telecommunication
13Martyn Edwards Software acceleration using programmable logic: is it worth the effort? Search on Bibsonomy CODES The full citation details ... 1997 DBLP  DOI  BibTeX  RDF software acceleration, performance evaluation, hardware architecture
13Indradeep Ghosh, Anand Raghunathan, Niraj K. Jha Hierarchical Test Generation and Design for Testability of ASPPs and ASIPs. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
13Luigi Carro, G. A. Pereira, C. Alba, Altamiro Amadeu Susin System Design using ASIPs. Search on Bibsonomy ECBS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
13J. Shu, Thomas Charles Wilson, Dilip K. Banerji Instruction-Set Matching and GA-based Selection for Embedded-Processor Code Generation. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
13Jie Gong, Daniel D. Gajski, Alexandru Nicolau Performance evaluation for application-specific architectures. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
13Pierre G. Paulin, Clifford Liem, Trevor C. May, Shailesh Sutarwala DSP design tool requirements for embedded systems: A telecommunications industrial perspective. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
13Ing-Jer Huang, Alvin M. Despain Generating instruction sets and microarchitectures from applications. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #391 of 391 (100 per page; Change: )
Pages: [<<][1][2][3][4]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license