The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Caches with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1978-1987 (17) 1988 (19) 1989 (24) 1990 (15) 1991 (18) 1992 (31) 1993 (30) 1994 (39) 1995 (49) 1996 (53) 1997 (68) 1998 (59) 1999 (102) 2000 (95) 2001 (95) 2002 (144) 2003 (210) 2004 (208) 2005 (219) 2006 (214) 2007 (225) 2008 (198) 2009 (159) 2010 (108) 2011 (73) 2012 (78) 2013 (94) 2014 (97) 2015 (69) 2016 (89) 2017 (87) 2018 (77) 2019 (85) 2020 (57) 2021 (67) 2022 (65) 2023 (35) 2024 (8)
Publication types (Num. hits)
article(849) incollection(4) inproceedings(2475) phdthesis(52)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2974 occurrences of 1216 keywords

Results
Found 3381 publication records. Showing 3380 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
20Lars Bækgaard, Leo Mark Incremental Computation of Nested Relational Query Expressions. Search on Bibsonomy ACM Trans. Database Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF nested query expressions, unnesting, view pointer caches, incremental computation, set differences
20Shannon V. Morton, Sam S. Appleton, Michael J. Liebelt ECSTAC: a fast asynchronous microprocessor. Search on Bibsonomy ASYNC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF asynchronous microprocessor, ECSTAC, two-phase communication, processor pipeline, register tagging, branch techniques, block simulation, caches, logic design, asynchronous circuits, microprocessor chips
20Theodore Johnson A performance comparison of fast distributed mutual exclusion algorithms. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF O(log n) messages, distributed virtual memory, computational complexity, distributed algorithms, distributed algorithms, software performance evaluation, performance comparison, distributed object systems, distributed synchronization, mutual exclusion algorithms, coherent caches
20John G. Cleary, Murray Pearson, Husam Kinawi The architecture of an optimistic CPU: the WarpEngine. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF optimistic CPU, WarpEngine, shared memory CPU, single instructions, memory latency tolerance, executable instructions, TimeWarp algorithm, optimistic, single linear address space, single thread of control, reliability, caches, parallel architectures, fault tolerant computing, concurrency control, synchronisation, synchronisation, shared memory systems, memory architecture, cache storage, memory system, memory model, time stamped, memory accesses, local memory
20David J. Lilja The Impact of Parallel Loop Scheduling Strategies on Prefetching in a Shared Memory Multiprocessor. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF parallel loop scheduling, numerical Fortran programs, single-word cache blocks, guidedself-scheduling, scheduling, parallel programming, prefetching, shared memory multiprocessor, shared memory systems, cache coherence, trace-driven simulations, buffer storage, data caches, memory performance, false sharing, performanceevaluation, cache pollution
20Michel Dubois 0001, Christoph Scheurich Memory Access Dependencies in Shared-Memory Multiprocessors. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF memory access dependencies, logical concurrency model, pipelining, multiprocessing systems, rules, shared-memory multiprocessors, multiprogramming, storage allocation, multiprocessor architectures, private caches
20Jean-Loup Baer, Yi-Bing Lin Improving Quicksort Performance with a Codewort Data Structure. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF codeword data structure, long, alphanumeric sequences, bytes, codeword generator, character count, first nonequal byte, quicksort algorithm, architecture-dependent parameters, in-line expansion, data structures, caches, sorting, compiler optimizations, register allocation, performance improvement, ordering, pointer, records, keys, swaps, write policies
19Mirza Omer Beg, Peter van Beek A graph theoretic approach to cache-conscious placement of data for direct mapped caches. Search on Bibsonomy ISMM The full citation details ... 2010 DBLP  DOI  BibTeX  RDF cache consciousness, data placement in cache, offline algorithms, memory management, cache optimization
19Gabriel H. Loh Extending the effectiveness of 3D-stacked DRAM caches with an adaptive multi-queue policy. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Mainak Chaudhuri PageNUCA: Selected policies for page-grain locality management in large shared chip-multiprocessor caches. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Martin Schoeberl, Wolfgang Puffitsch, Benedikt Huber Towards Time-Predictable Data Caches for Chip-Multiprocessors. Search on Bibsonomy SEUS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Chuanjun Zhang, Bing Xue Divide-and-conquer: a bubble replacement for low level caches. Search on Bibsonomy ICS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF high-performance computing, divide-and-conquer, cache replacement policy
19Jie Tao 0001, Dominic Hillenbrand, Holger Marten Instruction Hints for Super Efficient Data Caches. Search on Bibsonomy ICCS (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF simulation, architecture design, Cache optimization
19Jiang Lin, Qingda Lu, Xiaoning Ding, Zhao Zhang 0010, Xiaodong Zhang 0001, P. Sadayappan Enabling software management for multicore caches with a lightweight hardware support. Search on Bibsonomy SC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multicore, shared cache, cache management
19Mohammad Hammoud, Sangyeun Cho, Rami G. Melhem ACM: An Efficient Approach for Managing Shared Caches in Chip Multiprocessors. Search on Bibsonomy HiPEAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Nikos Hardavellas, Michael Ferdman, Babak Falsafi, Anastasia Ailamaki Reactive NUCA: near-optimal block placement and replication in distributed caches. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF block migration, block placement, block replication, cache indexing, cache lookup, non-uniform cache access, nuca, r-nuca, reactive nuca, rotational interleaving, cache, replication, chip multiprocessor, cmp, placement, multicore, multi-core, migration, cache coherence, data replication, coherence, interleaving, data migration, data placement, shared cache, cache management, lookup, last-level cache, private cache
19Yan Pan, Joonho Kong, Serkan Ozdemir, Gokhan Memik, Sung Woo Chung Selective wordline voltage boosting for caches to manage yield under process variations. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF access time failure, selective wordline voltage boosting, cache, process variations, yield
19Xiaogang Qiu, Michel Dubois 0001 The Synonym Lookaside Buffer: A Solution to the Synonym Problem in Virtual Caches. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Yun Liang 0001, Tulika Mitra Static analysis for fast and accurate design space exploration of caches. Search on Bibsonomy CODES+ISSS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF probabilistic cache states, cache, design space exploration
19Kyoungwoo Lee, Aviral Shrivastava, Nikil D. Dutt, Nalini Venkatasubramanian Data Partitioning Techniques for Partially Protected Caches to Reduce Soft Error Induced Failures. Search on Bibsonomy DIPES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Ozcan Ozturk 0001, Seung Woo Son 0001, Mahmut T. Kandemir, Mustafa Karaköy Prefetch throttling and data pinning for improving performance of shared caches. Search on Bibsonomy SC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Eui-Young Chung, Cheol Hong Kim, Sung Woo Chung An Accurate and Energy-Efficient Way Determination Technique for Instruction Caches by Early Tab Matching. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF way predictioin, low power, Instruction cache
19Shekhar Srikantaiah, Mahmut T. Kandemir, Mary Jane Irwin Adaptive set pinning: managing shared caches in chip multiprocessors. Search on Bibsonomy ASPLOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF inter-processor, intra-processor, set pinning, CMP, shared cache
19Afrin Naz, Krishna M. Kavi, Jung-Hwan Oh 0001, Pierfrancesco Foglia Reconfigurable split data caches: a novel scheme for embedded systems. Search on Bibsonomy SAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF split cache, embedded systems, cache, locality, reconfigurability
19Luong Dinh Hung, Hidetsugu Irie, Masahiro Goshima, Shuichi Sakai Utilization of SECDED for soft error and variation-induced defect tolerance in caches. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Isabelle Puaut, Christophe Pais Scratchpad memories vs locked caches in hard real-time systems: a quantitative comparison. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Rathijit Sen, Y. N. Srikant WCET estimation for executables in the presence of data caches. Search on Bibsonomy EMSOFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Sangyeun Cho, Lei Jin 0002, Kiyeon Lee Achieving Predictable Performance with On-Chip Shared L2 Caches for Manycore-Based Real-Time Systems. Search on Bibsonomy RTCSA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Jugash Chandarlapati, Mainak Chaudhuri LEMap: Controlling leakage in large chip-multiprocessor caches via profile-guided virtual address translation. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Ricardo A. Baeza-Yates, Flavio Junqueira, Vassilis Plachouras, Hans Friedrich Witschel Admission Policies for Caches of Search Engine Results. Search on Bibsonomy SPIRE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Apala Guha, Kim M. Hazelwood, Mary Lou Soffa Reducing Exit Stub Memory Consumption in Code Caches. Search on Bibsonomy HiPEAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Georgios Keramidas, Polychronis Xekalakis, Stefanos Kaxiras Applying Decay to Reduce Dynamic Power in Set-Associative Caches. Search on Bibsonomy HiPEAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Naveen Muralimanohar, Rajeev Balasubramonian Interconnect design considerations for large NUCA caches. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF non-uniform cache architecture, network-on-chip, interconnect, memory hierarchies, cache models
19Ewa Kusmierek, Yingfei Dong, David Hung-Chang Du Loopback: exploiting collaborative caches for large-scale streaming. Search on Bibsonomy IEEE Trans. Multim. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Georgios Keramidas, Pavlos Petoumenos, Stefanos Kaxiras, Alexandros Antonopoulos, Dimitrios N. Serpanos Preventing Denial-of-Service Attacks in Shared CMP Caches. Search on Bibsonomy SAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Anca Mariana Molnos, Marc J. M. Heijligers, Sorin Dan Cotofana, Jos T. J. van Eijndhoven Compositional, efficient caches for a chip multi-processor. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Seetharami R. Seelam, Jayaraman Suresh Babu, Patricia J. Teller Rate-Controlled Scheduling of Expired Writes for Volatile Caches. Search on Bibsonomy QEST The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Arul Sandeep Gade, Yul Chu A Case for Dual-Mapping One-Way Caches. Search on Bibsonomy ARCS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Anup Mayank, Chinya V. Ravishankar, Krishna Bandaru, Trivikram Phatak Decentralized Hash-Based Coordination of Distributed Multimedia Caches. Search on Bibsonomy ICN/ICONS/MCL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Abel Guilhermino Silva-Filho, Pablo Viana, Edna Barros, Manoel Eusébio de Lima Tuning Mechanism for Two-Level Cache Hierarchy Intended for Instruction Caches and Low Energy Consumption. Search on Bibsonomy SBAC-PAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Hiroaki Kobayashi, Isao Kotera, Hiroyuki Takizawa Locality analysis to control dynamically way-adaptable caches. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Yan Meng, Timothy Sherwood, Ryan Kastner Exploring the limits of leakage power reduction in caches. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF cache intervals, leakage power, Limits
19Tohru Ishihara, Farzan Fallah A Way Memoization Technique for Reducing Power Consumption of Caches in Application Specific Integrated Processors. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Robert Bai, Nam Sung Kim, Taeho Kgil, Dennis Sylvester, Trevor N. Mudge Power-Performance Trade-Offs in Nanometer-Scale Multi-Level Caches Considering Total Leakage. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Derek Bruening, Saman P. Amarasinghe Maintaining Consistency and Bounding Capacity of Software Code Caches. Search on Bibsonomy CGO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Yan Meng, Timothy Sherwood, Ryan Kastner On the Limits of Leakage Power Reduction in Caches. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Saibhushan Musalappa, Shivakumar Sundaram, Yul Chu Energy savings for data caches: ELRU-SEQ replacement policy. Search on Bibsonomy IPCCC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Lingling Jin, Wei Wu 0024, Jun Yang 0002, Chuanjun Zhang, Youtao Zhang Dynamic Co-allocation of Level One Caches. Search on Bibsonomy ICESS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Antonio Martí Campoy, Eugenio Tamura, Sergio Sáez, Francisco Rodríguez 0003, José V. Busquets-Mataix On Using Locking Caches in Embedded Real-Time Systems. Search on Bibsonomy ICESS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF genetic algorithms, performance evaluation, predictability, response time, Cache memories, schedulability analysis, execution time, embedded real-time systems
19Emre Özer 0001, Resit Sendag, David Gregg Multiple-Valued Caches for Power-Efficient Embedded Systems. Search on Bibsonomy ISMVL The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Nasir Mohyuddin, Rashed Zafar Bhatti, Michel Dubois 0001 Controlling leakage power with the replacement policy in slumberous caches. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF tranquility level, leakage power, replacement policy, drowsy cache
19Johnsy K. John, Jie S. Hu, Sotirios G. Ziavras Optimizing the Thermal Behavior of Subarrayed Data Caches. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Ann Gordon-Ross, Frank Vahid, Nikil D. Dutt A first look at the interplay of code reordering and configurable caches. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF cache exploration, code reorganization, low power, low energy, cache optimization, architecture tuning, cache hierarchy, configurable cache, code layout, code reordering
19Enrique F. Torres, Pablo Ibáñez, Víctor Viñals, José María Llabería Store Buffer Design in First-Level Multibanked Data Caches. Search on Bibsonomy ISCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Xiaoqin Ma, Gene Cooperman Fast Query Processing by Distributing an Index over CPU Caches. Search on Bibsonomy CLUSTER The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Akihito Sakanaka, Seiichirou Fujii, Toshinori Sato A leakage-energy-reduction technique for highly-associative caches in embedded systems. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2004 DBLP  DOI  BibTeX  RDF cache memories, embedded processors, leakage current
19Mark Brehob, Stephen Wagner, Eric Torng, Richard J. Enbody Optimal Replacement Is NP-Hard for Nonstandard Caches. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF optimal cache replacement policy, interval scheduling, skew cache, multilateral cache, approximation algorithm, Cache, victim cache
19Juan L. Aragón, Dan Nicolaescu, Alexander V. Veidenbaum, Ana-Maria Badulescu Energy-Efficient Design for Highly Associative Instruction Caches in Next-Generation Embedded Processors. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Ann Gordon-Ross, Frank Vahid, Nikil D. Dutt Automatic Tuning of Two-Level Caches to Embedded Applications. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF cache exploration, embedded systems, low power, low energy, cache optimization, architecture tuning, cache hierarchy, Configurable cache
19Enrique F. Torres, Pablo Ibáñez, Víctor Viñals, José María Llabería Contents Management in First-Level Multibanked Data Caches. Search on Bibsonomy Euro-Par The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Masamichi Takagi, Kei Hiraki Inter-reference gap distribution replacement: an improved replacement algorithm for set-associative caches. Search on Bibsonomy ICS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF cache memory, replacement algorithm, set-associative cache
19Arindam Mallik, Matthew C. Wildrick, Gokhan Memik Design and implementation of correlating caches. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Seiichiro Fujii, Toshinori Sato Non-uniform Set-Associative Caches for Power-Aware Embedded Processors. Search on Bibsonomy EUC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19John Y. Fong, Randy Acklin, John Roscher, Feng Li, Cindy Laird, Cezary Pietrzyk Nonvolatile Repair Caches Repair Embedded SRAM and New Nonvolatile Memories. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Changkyu Kim, Doug Burger, Stephen W. Keckler Nonuniform Cache Architectures for Wire-Delay Dominated On-Chip Caches. Search on Bibsonomy IEEE Micro The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Praveen Kalla, Xiaobo Sharon Hu, Jörg Henkel LRU-SEQ: A Novel Replacement Policy for Transition Energy Reduction in Instruction Caches. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Zhigang Hu, Stefanos Kaxiras, Margaret Martonosi Let caches decay: reducing leakage energy via exploitation of cache generational behavior. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF generational behavior, Cache memories, leakage power, cache decay
19Jussi Kangasharju, Felix Hartanto, Martin Reisslein, Keith W. Ross Distributing Layered Encoded Video through Caches. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF streaming layered video, utility heuristics, stochastic knapsack, Proxy caching
19Naila Rahman Algorithms for Hardware Caches and TLB. Search on Bibsonomy Algorithms for Memory Hierarchies The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Abdolreza Abhari, Sivarama P. Dandamudi, Shikharesh Majumdar Exploiting Web Document Structure to Improve Storage Management in Proxy Caches. Search on Bibsonomy HiPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Hans Vandierendonck, Alex Ramírez, Koenraad De Bosschere, Mateo Valero A Comparative Study of Redundancy in Trace Caches (Research Note). Search on Bibsonomy Euro-Par The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Susan Cotterell, Frank Vahid Synthesis of customized loop caches for core-based embedded systems. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF customized architectures, embedded systems, low power, synthesis, estimation, memory hierarchy, low energy, tuning, instruction fetching, architecture tuning, loop cache
19Dana S. Henry, Gabriel H. Loh, Rahul Sami Speculative Clustered Caches for Clustered Processors. Search on Bibsonomy ISHPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Fabian Wolf, Jan Staschulat, Rolf Ernst Associative caches in formal software timing analysis. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF real-time, timing analysis, embedded software, cache analysis
19Goetz Graefe, Per-Åke Larson B-Tree Indexes and CPU Caches. Search on Bibsonomy ICDE The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Lars Arge, Jeffrey S. Chase, Jeffrey Scott Vitter, Rajiv Wickremesinghe Efficient Sorting Using Registers and Caches. Search on Bibsonomy WAE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Seon Wook Kim, Rudolf Eigenmann Compiler Techniques for Energy Saving in Instruction Caches of Speculative Parallel Microarchitectures. Search on Bibsonomy ICPP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF speculative microarchitecture, compiler, branch prediction, energy saving, instruction cache
19Peter Sanders 0001 Accessing Multiple Sequences Through Set Associative Caches. Search on Bibsonomy ICALP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF multi merge, memory hierarchy, external memory algorithm, Set associative cache
19Toni Cortes, Jesús Labarta Linear Aggressive Prefetching: A Way to Increase the Performance of Cooperative Caches. Search on Bibsonomy IPPS/SPDP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Tor E. Jeremiassen A DSP with Caches-A Study of the GSM-EFR Codec on the TI C6211. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF GSM-EFR Speech Codec, Performance, Cache, DSP
19Tom Thomas, Brian W. Anthony Area, Performance, and Yield Implications of Redundancy in On-Chip Caches. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF cache, redundancy, microprocessor, yield, SRAM, yield enhancement, microprocessor design, embedded SRAM
19Elizabeth A. M. Shriver, Arif Merchant, John Wilkes An Analytic Behavior Model for Disk Drives with Readahead Caches and Request Reordering. Search on Bibsonomy SIGMETRICS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
19Rajesh Raina, Robert F. Molyneaux Random Self-Test Method - Applications on PowerPC (tm) Microprocessor Caches. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF High-Level Design Validation, Silicon Validation, Pseudo-Random Testing, Microprocessor Testing
19Sanjeev Kumar, Christopher B. Wilkerson Exploiting Spatial Locality in Data Caches Using Spatial Footprints. Search on Bibsonomy ISCA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
19Somnath Ghosh, Margaret Martonosi, Sharad Malik Precise Miss Analysis for Program Transformations with Caches of Arbitrary Associativity. Search on Bibsonomy ASPLOS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
19Edward D. Moreno, Sergio Takeo Kofuji Efficiency of remote access caches in future SMP-based CC-NUMA multiprocessors: initial results. Search on Bibsonomy ISPAN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF shared remote access cache, future SMP based CC-NUMA multiprocessors, symmetric multiprocessor nodes, future architectures, realistic hardware parameters, state of the art systems components, SPLASH-2 benchmark suite, performance application, baseline architecture, approach-1, slow network, approach-2, fast network, 32-processor system, four-processor SMP nodes, two-processor SMP nodes, multiprocessing systems, execution time, cost effectiveness
19Kenneth M. Wilson, Kunle Olukotun Designing High Bandwidth On-Chip Caches. Search on Bibsonomy ISCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
19Marc Abrams, Charles R. Standridge, Ghaleb Abdulla, Edward A. Fox, Stephen M. Williams Removal Policies in Network Caches for World-Wide Web Documents. Search on Bibsonomy SIGCOMM The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Internet, World Wide Web (WWW)
19Richard E. Kessler, Mark D. Hill Page Placement Algorithms for Large Real-Indexed Caches. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
19Michel Dubois 0001, Luiz André Barroso, Yung-Syau Chen, Koray Öner Scalability Problems in Multiprocessors with Private Caches. Search on Bibsonomy PARLE The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
19Jon A. Solworth, Cyril U. Orji Write-Only Disk Caches. Search on Bibsonomy SIGMOD Conference The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
19Andrew Braunstein, Mark Riley, John Wilkes Improving the Efficiency of UNIX File Buffer Caches. Search on Bibsonomy SOSP The full citation details ... 1989 DBLP  DOI  BibTeX  RDF UNIX
19Scott McFarling Program Optimization for Instruction Caches. Search on Bibsonomy ASPLOS The full citation details ... 1989 DBLP  DOI  BibTeX  RDF RISC
18Shrikanth Ganapathy, Ramon Canal, Antonio González 0001, Antonio Rubio 0001 MODEST: a model for energy estimation under spatio-temporal variability. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF dsm scaling, spatio-temporal variability, cache design
18Pejman Lotfi-Kamran, Michael Ferdman, Daniel Crisan, Babak Falsafi TurboTag: lookup filtering to reduce coherence directory power. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF bloom, low power, filter, coherence, directory
18Li Zhao 0002, Ravi R. Iyer 0001, Srihari Makineni, Don Newell, Liqun Cheng NCID: a non-inclusive cache, inclusive directory architecture for flexible and efficient cache hierarchies. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF cache, directory
18Mohamed M. Sabry, Martino Ruggiero, Pablo García Del Valle Performance and energy trade-offs analysis of L2 on-chip cache architectures for embedded MPSoCs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF multi-core, virtual platform, L2 cache
18Guy E. Blelloch, Phillip B. Gibbons, Harsha Vardhan Simhadri Low depth cache-oblivious algorithms. Search on Bibsonomy SPAA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF sparse-matrix vector multiply, schedulers, parallel algorithms, multiprocessors, sorting, graph algorithms, cache-oblivious algorithms
18Mohammad Shihabul Haque, Jorgen Peddersen, Andhi Janapsatya, Sri Parameswaran SCUD: a fast single-pass L1 cache simulation approach for embedded processors with round-robin replacement policy. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF miss rate, simulation, round robin, cache simulation, L1 cache
18Jaume Abella 0001, Javier Carretero, Pedro Chaparro, Xavier Vera, Antonio González 0001 Low Vccmin fault-tolerant cache with highly predictable performance. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Vccmin, cache, faults, predictable performance
Displaying result #301 - #400 of 3380 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license