The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for SOC with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1937-1972 (75) 1973 (84) 1974 (82) 1975 (87) 1976 (94) 1977 (77) 1978 (77) 1979 (98) 1980 (99) 1981 (119) 1982 (165) 1983 (91) 1984 (92) 1985 (92) 1986 (134) 1987 (124) 1988 (134) 1989 (54) 1990 (143) 1991 (149) 1992 (123) 1993 (116) 1994 (145) 1995 (183) 1996 (255) 1997 (257) 1998 (625) 1999 (719) 2000 (907) 2001 (775) 2002 (931) 2003 (1159) 2004 (1255) 2005 (1415) 2006 (1554) 2007 (1575) 2008 (1473) 2009 (1448) 2010 (1445) 2011 (1742) 2012 (1741) 2013 (1771) 2014 (1645) 2015 (1937) 2016 (1970) 2017 (1932) 2018 (2081) 2019 (1946) 2020 (2112) 2021 (2419) 2022 (2556) 2023 (2870) 2024 (970)
Publication types (Num. hits)
article(37376) book(6) data(5) incollection(72) inproceedings(8546) phdthesis(37) proceedings(80)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 3961 occurrences of 1777 keywords

Results
Found 46124 publication records. Showing 46122 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
26Shanshan Dai, Caleb R. Tulloss, Xiaoyu Lian, Kangping Hu, Sherief Reda, Jacob K. Rosenstein Low Power Current-Mode Relaxation Oscillators for Temperature and Supply Voltage Monitoring. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
26Jonas Gava, Ricardo Reis 0001, Luciano Ost RAT: A Lightweight Architecture Independent System-Level Soft Error Mitigation Technique. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
26Edouard Giacomin, Jürgen Bömmels, Julien Ryckaert, Francky Catthoor, Pierre-Emmanuel Gaillardon 3D Nanofabric: Layout Challenges and Solutions for Ultra-scaled Logic Designs. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
26Adi Eliahu, Rotem Ben Hur, Ronny Ronen, Shahar Kvatinsky abstractPIM: A Technology Backward-Compatible Compilation Flow for Processing-In-Memory. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
26Alessandro Veronesi, Davide Bertozzi, Milos Krstic Assessing the Configuration Space of the Open Source NVDLA Deep Learning Accelerator on a Mainstream MPSoC Platform. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
26Yinghua Hu, Kaixin Yang, Shahin Nazarian, Pierluigi Nuzzo 0002 SANSCrypt: Sporadic-Authentication-Based Sequential Logic Encryption. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
26Rakshith Saligram, Ankit Kaul, Muhannad S. Bakir, Arijit Raychowdhury Multilevel Signaling for High-Speed Chiplet-to-Chiplet Communication. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
26Arnaud Poittevin, Chhandak Mukherjee, Ian O'Connor, Cristell Maneux, Guilhem Larrieu, Marina Deng, Sébastien Le Beux, François Marc, Aurélie Lecestre, Cédric Marchand 0002, Abhishek Kumar 3D Logic Cells Design and Results Based on Vertical NWFET Technology Including Tied Compact Model. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
26Yukio Miyasaka, Masahiro Fujita, Alan Mishchenko, John Wawrzynek SAT-Based Mapping of Data-Flow Graphs onto Coarse-Grained Reconfigurable Arrays. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
26David Cordova, Wim Cops, Yann Deval, François Rivet, Hervé Lapuyade, Nicolas Nodenot, Yohan Piccin Low-Power High-Speed ADCs for ADC-Based Wireline Receivers in 22 nm FDSOI. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
26Michail Maniatakos, Ibrahim Abe M. Elfadel, Matteo Sonza Reorda, H. Fatih Ugurdag, José Monteiro 0001, Ricardo Reis 0001 (eds.) VLSI-SoC: Opportunities and Challenges Beyond the Internet of Things - 25th IFIP WG 10.5/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2017, Abu Dhabi, United Arab Emirates, October 23-25, 2017, Revised and Extended Selected Papers Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
26Nicola Bombieri, Graziano Pravadelli, Masahiro Fujita, Todd M. Austin, Ricardo Reis 0001 (eds.) VLSI-SoC: Design and Engineering of Electronics Systems Based on New Computing Paradigms - 26th IFIP WG 10.5/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2018, Verona, Italy, October 8-10, 2018, Revised and Extended Selected Papers Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
26Solon Falas, Charalambos Konstantinou, Maria K. Michael Hardware-Enabled Secure Firmware Updates in Embedded Systems. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
26Y. Serhan Gener, Furkan Aydin, Sezer Gören 0001, H. Fatih Ugurdag Semi- and Fully-Random Access LUTs for Smooth Functions. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
26Leonardo Heitich Brendler, Alexandra L. Zimpeck, Cristina Meinhardt, Ricardo Reis 0001 Process Variability Impact on the SET Response of FinFET Multi-level Design. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
26László Szilágyi, Jan Plíva, Ronny Henker Offset-Compensation Systems for Multi-Gbit/s Optical Receivers. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
26Atishay, Ankit Gupta 0010, Rashmi Sonawat, Helik Kanti Thacker, Prasanth B A Statistical Wafer Scale Error and Redundancy Analysis Simulator. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
26Michelangelo Grosso, Matteo Sonza Reorda, Salvatore Rinaudo Software-Based Self-Test for Delay Faults. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
26Longfei Wang, Soner Seçkiner, Selçuk Köse Reliability Enhanced Digital Low-Dropout Regulator with Improved Transient Performance. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
26Leonardo B. Moraes, Alexandra Lackmann Zimpeck, Cristina Meinhardt, Ricardo A. L. Reis Robust FinFET Schmitt Trigger Designs for Low Power Applications. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
26Patsy Cadareanu, Ganesh Gore, Edouard Giacomin, Pierre-Emmanuel Gaillardon A Predictive Process Design Kit for Three-Independent-Gate Field-Effect Transistors. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
26João Vieira, Edouard Giacomin, Yasir Mahmood Qureshi, Marina Zapater, Xifan Tang, Shahar Kvatinsky, David Atienza, Pierre-Emmanuel Gaillardon Accelerating Inference on Binary Neural Networks with Digital RRAM Processing. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
26Chen-Ying Hsieh, Ardalan Amiri Sani, Nikil D. Dutt Exploiting Heterogeneous Mobile Architectures Through a Unified Runtime Framework. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
26Rafael B. Schvittz, Denis Teixeira Franco, Leomar S. da Rosa, Paulo F. Butzen An Improved Technique for Logic Gate Susceptibility Evaluation of Single Event Transient Faults. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
26Vitor V. Bandeira, Felipe Rosa 0001, Ricardo Reis 0001, Luciano Ost Efficient Soft Error Vulnerability Analysis Using Non-intrusive Fault Injection Techniques. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
26Adeboye Stephen Oyeniran, Raimund Ubar, Maksim Jenihhin, Jaan Raik On Test Generation for Microprocessors for Extended Class of Functional Faults. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
26Bruno Forlin, Cezar Reinbrecht, Johanna Sepúlveda Security Aspects of Real-Time MPSoCs: The Flaws and Opportunities of Preemptive NoCs. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
26Valentino Peluso, Andrea Calimera Energy-Accuracy Scalable Deep Convolutional Neural Networks: A Pareto Analysis. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
26Naoki Ojima, Toru Nakura, Tetsuya Iizuka, Kunihiro Asada A 65 nm CMOS Synthesizable Digital Low-Dropout Regulator Based on Voltage-to-Time Conversion with 99.6% Current Efficiency at 10-mA Load. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
26Md. Adnan Zaman, Rajeev Joshi, Srinivas Katkoori Optimizing Performance and Energy Overheads Due to Fanout in In-Memory Computing Systems. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
26Anna Bernasconi 0001, Antonio Boffa, Fabrizio Luccio, Linda Pagli The Connection Layout in a Lattice of Four-Terminal Switches. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
26Luca Stornaiuolo, Marco Rabozzi, Marco D. Santambrogio, Donatella Sciuto, Catalin Bogdan Ciobanu, Giulio Stramondo, Ana Lucia Varbanescu Building High-Performance, Easy-to-Use Polymorphic Parallel Memories with HLS. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
26Swagata Mandal, Yaswanth Tavva, Debjyoti Bhattacharjee, Anupam Chattopadhyay ReRAM Based In-Memory Computation of Single Bit Error Correcting BCH Code. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
26Víctor H. Champac, Andres F. Gomez, Freddy Forero, Kaushik Roy 0001 Analysis of Bridge Defects in STT-MRAM Cells Under Process Variations and a Robust DFT Technique for Their Detection. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
26Xiaorui Liu, Anastasis Keliris, Charalambos Konstantinou, Marios Sazos, Michail Maniatakos Assessment of Low-Budget Targeted Cyberattacks Against Power Systems. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
26Francesco Barchi, Gianvito Urgese, Enrico Macii, Andrea Acquaviva Mapping Spiking Neural Networks on Multi-core Neuromorphic Platforms: Problem Formulation and Performance Analysis. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
26Tim Fritzmann, Thomas Schamberger, Christoph Frisch, Konstantin Braun, Georg Maringer, Johanna Sepúlveda Efficient Hardware/Software Co-design for NTRU. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
26Shahzad Muzaffar, Ibrahim Abe M. Elfadel An Instruction Set Architecture for Secure, Low-Power, Dynamic IoT Communication. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
26Riccardo Cantoro, Sara Carbonara, Andrea Floridia, Ernesto Sánchez 0001, Matteo Sonza Reorda, Jan-Gerd Mess Improved Test Solutions for COTS-Based Systems in Space Applications. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
26Utkarsh Gupta, Irina Ilioaea, Vikas Rao, Arpitha Srinath, Priyank Kalla, Florian Enescu Rectification of Arithmetic Circuits with Craig Interpolants in Finite Fields. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
26Thomas Hollstein, Jaan Raik, Sergei Kostin, Anton Tsertov, Ian O'Connor, Ricardo Reis 0001 (eds.) VLSI-SoC: System-on-Chip in the Nanoscale Era - Design, Verification and Reliability - 24th IFIP WG 10.5/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2016, Tallinn, Estonia, September 26-28, 2016, Revised Selected Papers Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
26Mohammed Ismail 0001 A self-powered IoT SoC platform for wearable health care. Search on Bibsonomy VLSI-SoC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
26Wala Saadeh, Muhammad Awais Bin Altaf A Wearable Neuro-Degenerative Diseases Classification System Using Human Gait Dynamics. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
26Thiago Santos Copetti, Guilherme Cardoso Medeiros, Letícia Maria Bolzani Poehls, Tiago R. Balen Evaluating the Impact of Resistive Defects on FinFET-Based SRAMs. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
26Markus Stefan Wamser, Georg Sigl Pushing the Limits Further: Sub-Atomic AES. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
26Daniele Cesarini, Andrea Bartolini, Luca Benini Modeling and Evaluation of Application-Aware Dynamic Thermal Control in HPC Nodes. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
26Sukarn Agarwal, Hemangee K. Kapoor Lifetime Enhancement of Non-Volatile Caches by Exploiting Dynamic Associativity Management Techniques. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
26Roberto Giorgio Rizzo, Valentino Peluso, Andrea Calimera, Jun Zhou 0017 On the Efficiency of Early Bird Sampling (EBS) an Error Detection-Correction Scheme for Data-Driven Voltage Over-Scaling. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
26Vivek Nautiyal, Lalit Gupta, Gaurav Singla, Jitendra Dasani, Sagar Dwivedi, Martin Kinkade Self-timed Power-on Reset Circuit for Pseudo Dual/Two Port SRAM Used in Low-Voltage IoT Applications. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
26Stefano Aldegheri, Nicola Bombieri Integrating Simulink, OpenVX, and ROS for Model-Based Design of Embedded Vision Applications. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
26Shahzad Muzaffar, Ibrahim Abe M. Elfadel Pulsed Decimal Encoding for IoT Single-Channel Dynamic Signaling. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
26Matthias Thiele, Steve Bigalke, Jens Lienig Electromigration Analysis of VLSI Circuits Using the Finite Element Method. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
26Youngsoo Shin, Chi-Ying Tsui, Jae-Joon Kim, Kiyoung Choi, Ricardo Reis 0001 (eds.) VLSI-SoC: Design for Reliability, Security, and Low Power - 23rd IFIP WG 10.5/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2015, Daejeon, Korea, October 5-7, 2015, Revised Selected Papers Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
26Yanzhe Li, Kai Huang 0002, Luc Claesen SoC oriented real-time high-quality stereo vision system. Search on Bibsonomy VLSI-SoC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
26Maedeh Hemmat, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram Robust Hybrid TFET-MOSFET Circuits in Presence of Process Variations and Soft Errors. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
26Guillaume Plassan, Hans-Jörg Peter, Katell Morin-Allory, Shaker Sarwary, Dominique Borrione Improving the Efficiency of Formal Verification: The Case of Clock-Domain Crossings. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
26Xueqing Li, Kaisheng Ma, Sumitha George, John Sampson, Vijaykrishnan Narayanan Enabling Internet-of-Things with Opportunities Brought by Emerging Devices, Circuits and Architectures. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
26Nimrod Wald, Elad Amrani, Avishay Drori, Shahar Kvatinsky Logic with Unipolar Memristors - Circuits and Design Methodology. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
26Yanzhe Li, Kai Huang 0002, Luc Claesen A Novel Hardware-Oriented Stereo Matching Algorithm and Its Architecture Design in FPGA. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
26Alexander W. Rath, Sebastian Simon, Volkan Esen, Wolfgang Ecker Earth Mover's Distance as a Comparison Metric for Analog Behavior. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
26Valentino Peluso, Roberto Giorgio Rizzo, Andrea Calimera, Enrico Macii, Massimo Alioto Beyond Ideal DVFS Through Ultra-Fine Grain Vdd-Hopping. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
26Valerio Tenace, Andrea Calimera, Enrico Macii, Massimo Poncino Logic Synthesis for Silicon and Beyond-Silicon Multi-gate Pass-Logic Circuits. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
26Syed Mohsin Abbas, Chi-Ying Tsui Approximate Matrix Inversion for Linear Pre-coders in Massive MIMO. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
26Johannes Schreiner, Wolfgang Ecker Digital Hardware Design Based on Metamodels and Model Transformations. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
26Alex Orailoglu, H. Fatih Ugurdag, Luís Miguel Silveira, Martin Margala, Ricardo Reis 0001 (eds.) VLSI-SoC: At the Crossroads of Emerging Trends - 21st IFIP WG 10.5/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2013, Istanbul, Turkey, October 6-9, 2013, Revised and Extended Selected Papers Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
26Luc Claesen, María Teresa Sanz-Pascual, Ricardo Reis 0001, Arturo Sarmiento-Reyes (eds.) VLSI-SoC: Internet of Things Foundations - 22nd IFIP WG 10.5/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2014, Playa del Carmen, Mexico, October 6-8, 2014, Revised and Extended Selected Papers Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
26Manikantan Srinivasan, C. Siva Ram Murthy, Anusuya Balasubramanian Modular performance analysis of Multicore SoC-based small cell LTE base station. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
26Manikandan Pandiyan, Geetha Mani, Jovitha Jerome, Natarajan S. Integrating wearable low power CMOS ECG acquisition SoC with decision making system for WSBN applications. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
26Xabier Iturbe, Didier Keymeulen, Emre Ozer 0001, Patrick Yiu, Daniel Berisford, Kevin P. Hand, Robert Carlson An integrated SoC for science data processing in next-generation space flight instruments avionics. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
26Hyungil Park, Ingi Lim, Sungweon Kang, Whan-woo Kim 10Mbps human body communication SoC for BAN. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
26Anvesha Amaravati, Manan Chugh, Arijit Raychowdhury A SAR Pipeline ADC Embedding Time Interleaved DAC Sharing for Ultra-low Power Camera Front Ends. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
26Xabier Iturbe, Didier Keymeulen, Patrick Yiu, Daniel Berisford, Robert Carlson, Kevin P. Hand, Emre Ozer 0001 On the Use of System-on-Chip Technology in Next-Generation Instruments Avionics for Space Exploration. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
26Asim Khan, Muhammad Umar Karim Khan, Muhammad Bilal 0001, Chong-Min Kyung A Hardware Accelerator for Real Time Sliding Window Based Pedestrian Detection on High Resolution Images. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
26Alessandro Danese, Francesca Filini, Tara Ghasempouri, Graziano Pravadelli Automatic Generation and Qualification of Assertions on Control Signals: A Time Window-Based Approach. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
26Raimund Ubar, Lembit Jürimägi, Elmet Orasson, Jaan Raik Fault Collapsing in Digital Circuits Using Fast Fault Dominance and Equivalence Analysis with SSBDDs. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
26Alberto Bocca, Alessandro Sassone, Donghwa Shin, Alberto Macii, Enrico Macii, Massimo Poncino A Temperature-Aware Battery Cycle Life Model for Different Battery Chemistries. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
26Masahiro Fujita Delay Testing Based on Multiple Faulty Behaviors. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
26Lilian Bossuet, Pierre Bayon, Viktor Fischer Electromagnetic Transmission of Intellectual Property Data to Protect FPGA Designs. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
26Chun-Jen Tsai, Tsung-Han Wu, Hung-Cheng Su, Cheng-Yang Chen JAIP-MP: A Four-Core Java Application Processor for Embedded Systems. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
26Jesus-Andres Mendoza-Bonilla, Alejandro Cortez-Ibarra, Edgar-Andrei Vega-Ochoa, Francisco Rangel-Patino, Brandon Gore Backplane/FDA correlation-FDA replacing commercial backplanes for SoC ethernet electrical validation. Search on Bibsonomy VLSI-SoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
26Farshad Moradi, Mohammad Tohidi, Behzad Zeinali, Jens Kargaard Madsen 8T-SRAM Cell with Improved Read and Write Margins in 65 nm CMOS Technology. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
26Kerem Seyid, Ömer Çogal, Vladan Popovic, Hossein Afshari, Alexandre Schmid, Yusuf Leblebici Real-Time Omnidirectional Imaging System with Interconnected Network of Cameras. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
26Liang Wang 0020, Xiaohang Wang 0001, Terrence S. T. Mak Dynamic Programming-Based Lifetime Reliability Optimization in Networks-on-Chip. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
26Matthieu Dubois, Haralampos-G. D. Stratigopoulos, Salvador Mir, Manuel J. Barragán Statistical Evaluation of Digital Techniques for $\sum\varDelta$ ADC BIST. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
26Jinwook Jung, Dongsoo Lee, Youngsoo Shin Design and Optimization of Multiple-Mesh Clock Network. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
26Vincent Beroulle, Philippe Candelier, Stephan De Castro, Giorgio Di Natale, Jean-Max Dutertre, Marie-Lise Flottes, David Hély, Guillaume Hubert, Régis Leveugle, Feng Lu, Paolo Maistri, Athanasios Papadimitriou, Bruno Rouzeyre, Clément Tavernier, Pierre Vanhauwaert Laser-Induced Fault Effects in Security-Dedicated Circuits. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
26Shilpa Pendyala, Srinivas Katkoori Interval Arithmetic and Self Similarity Based Subthreshold Leakage Optimization in RTL Datapaths. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
26Dominik Auras, Uwe Deidersen, Rainer Leupers, Gerd Ascheid A Parallel MCMC-Based MIMO Detector: VLSI Design and Algorithm. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
26Sreenivaas S. Muthyala, Nur A. Touba Efficient Utilization of Test Elevators to Reduce Test Time in 3D-ICs. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
26Dongwoo Lee, Kiyoung Choi Energy-Efficient Partitioning of Hybrid Caches in Multi-core Architecture. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
26Thomas Marconi, Christian Spagnol, Emanuel M. Popovici, Sorin Cotofana Transmission Channel Noise Aware Energy Effective LDPC Decoding. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
26Alessandro Lonardi, Graziano Pravadelli On the Co-simulation of SystemC with QEMU and OVP Virtual Platforms. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
26Andreas Burg, Ayse K. Coskun, Matthew R. Guthaus, Srinivas Katkoori, Ricardo Reis 0001 (eds.) VLSI-SoC: From Algorithms to Circuits and System-on-Chip Design - 20th IFIP WG 10.5/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2012, Santa Cruz, CA, USA, October 7-10, 2012, Revised Selected Papers Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Wael Adi, Shaza Zeitouni, X. Huang, Marc Fyrbiak, Christian Kison, Marc Jeske, Z. Alnahhas IP-core protection for a non-volatile Self-reconfiguring SoC environment. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Yankin Tanurhan, Pieter van der Wolf Processors as SoC building blocks. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Jonathan van de Belt, Paul D. Sutton, Linda Doyle Accelerating software radio: Iris on the Zynq SoC. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Vijay Sheshadri, Vishwani D. Agrawal, Prathima Agrawal Power-aware SoC test optimization through dynamic voltage and frequency scaling. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Baris Özgül, Jan Langer, Juanjo Noguera, Kees A. Vissers Software-programmable digital pre-distortion on the Zynq SoC. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Abdulkadir Akin, Luis Manuel Gaemperle, Halima Najibi, Alexandre Schmid, Yusuf Leblebici Enhanced Compressed Look-up-Table Based Real-Time Rectification Hardware. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Yuan Ren, Tobias G. Noll Quantitative Optimization and Early Cost Estimation of Low-Power Hierarchical-Architecture SRAMs Based on Accurate Cost Models. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 46122 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license