The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for multiprocessor with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1961-1971 (15) 1972-1973 (17) 1974-1975 (20) 1976-1977 (40) 1978 (20) 1979 (20) 1980 (29) 1981 (39) 1982 (59) 1983 (53) 1984 (53) 1985 (81) 1986 (98) 1987 (129) 1988 (211) 1989 (197) 1990 (266) 1991 (211) 1992 (242) 1993 (212) 1994 (254) 1995 (246) 1996 (215) 1997 (224) 1998 (141) 1999 (210) 2000 (231) 2001 (202) 2002 (185) 2003 (253) 2004 (245) 2005 (339) 2006 (398) 2007 (417) 2008 (394) 2009 (311) 2010 (210) 2011 (188) 2012 (125) 2013 (132) 2014 (136) 2015 (101) 2016 (101) 2017 (84) 2018 (62) 2019 (71) 2020 (68) 2021 (55) 2022 (59) 2023 (37) 2024 (7)
Publication types (Num. hits)
article(2710) book(12) incollection(58) inproceedings(4758) phdthesis(175)
Venues (Conferences, Journals, ...)
IEEE Trans. Parallel Distribut...(321) IEEE Trans. Computers(317) IPDPS(136) ISCA(135) ICPP(99) DATE(96) CoRR(81) DAC(81) IPPS(78) ECRTS(75) ICS(73) Parallel Comput.(72) RTSS(71) SIGMETRICS(68) Euro-Par(67) RTCSA(63) More (+10 of total 1221)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 8783 occurrences of 2559 keywords

Results
Found 7713 publication records. Showing 7713 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
24Mladen Nikitovic, Mats Brorsson An adaptive chip-multiprocessor architecture for future mobile terminals. Search on Bibsonomy CASES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF chip-multiprocessor (CMP), power consumption, mobile terminals, energy-aware scheduling
24Lucian Codrescu, D. Scott Wills, James D. Meindl Architecture of the Atlas Chip-Multiprocessor: Dynamically Parallelizing Irregular Applications. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Thread speculation, multiscalar, parallelization, chip-multiprocessor, multithreading, value prediction
24Andreas A. Veglis, Andreas S. Pombortsis Performance Analysis of Multiprocessor Architectures via Analytical Simulation. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF analytical simulation, MathConnex, performance, multiprocessor
24Håkan Sundell, Philippas Tsigas Space efficient wait-free buffer sharing in multiprocessor real-time systems based on timing information. Search on Bibsonomy RTCSA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF space-efficient wait-free algorithm, real-time multiprocessor systems, deadline guarantees, nonblocking algorithms, unbounded time-stamps, time-stamp bounding, concurrent read/write operations, real-time systems, protocol, data structures, data structures, timing, multiprocessing systems, mutual exclusion, blocking, buffer storage, timing information, shared buffer, memory protocols
24Randall S. Janka, Linda M. Wills Combining Virtual Benchmarking with Rapid System Prototyping for Real-Time Embedded Multiprocessor Signal Processing System Codesign. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2000 DBLP  DOI  BibTeX  RDF signal processor, specification and design methodology, middleware, multiprocessor, embedded, rapid prototyping, codesign
24Amer Baghdadi, Nacer-Eddine Zergainoh, Wander O. Cesário, T. Roudier, Ahmed Amine Jerraya Design Space Exploration for Hardware/Software Codesign of Multiprocessor Systems. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Design Space Exploration, SDL, Hardware/Software Codesign, Performance Estimation, Multiprocessor Architectures
24Satoshi Fujita, Tadanori Nakagawa Lower Bounding Techniques for the Multiprocessor Scheduling Problem with Communication Delay. Search on Bibsonomy IEEE PACT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF inevitable communication delay, lower bound, Multiprocessor scheduling problem
24Dwight Sunada, David Glasco, Michael J. Flynn Multiprocessor Architecture Using an Audit Trail for Fault Tolerance. Search on Bibsonomy FTCS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF apparatus, tightly-coupled multiprocessor, fault tolerance, algorithm, checkpoint
24G. Manimaran, C. Siva Ram Murthy An Efficient Dynamic Scheduling Algorithm For Multiprocessor Real-Time Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF parallelizable tasks, real-time systems, Multiprocessor, dynamic scheduling, resource constraints
24Ho-fung Leung, Hing-Fung Ting An Optimal Algorithm for Global Termination Detection in Shared-Memory Asynchronous Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF optimality, Termination detect, shared-memory multiprocessor systems
24Chun Xia, Josep Torrellas Improving the Data Cache Performance of Multiprocessor Operating Systems. Search on Bibsonomy HPCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF data cache performance, operating system effect on caches, bus-based multiprocessor, address trace evaluation, block operations, latency hiding
24Xiaofan Yang, Tinghuai Chen, Zehan Cao, Zhongshi He, Hongqing Cao A New Scheme For The Fault Diagnosis Of Multiprocessor Systems. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF system-level probabilistic diagnosis, K-Step-Voting algorithm, generalised Majority-Voting algorithm, BGM model, pessimistic model, fault tolerance, fault diagnosis, high-performance computing, multiprocessing systems, fault model, multiprocessor systems, computer simulations, random graphs, PMC model, diagnosis algorithm, connection assignment, hypercube systems
24Jan Jonsson, Jonas Vasell A Comparative Study of Methods for Time-Deterministic Message Delivery in a Multiprocessor Architecture. Search on Bibsonomy IPPS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Time-deterministic communication, point-to-point interconnection networks, link contention analysis, implementation cost, multiprocessor architectures, communication scheduling, real-time channels
24Sajal K. Das 0001, Sanjoy K. Sen Analysis of Memory Interference in Buffered Multiprocessor Systems in Presence of Hot Spots and Favorite Memories. Search on Bibsonomy IPPS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF buffered multiprocessor systems, discrete Markov chain model, processor-memory interconnections, hot memory, favorite memory, mean queue length, memory request, asymptotic bandwidth, performance evaluation, Markov processes, shared memory systems, upper bound, hot spots, simulation studies, memory interference, mean waiting time
24Chung-Sei Rhee, Heok-Jung Kwon, Young-Tak Kwon, Byung-Whan Choi On the real time diagnosability of multiprocessor systems by comparison approach. Search on Bibsonomy RTCSA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF real time diagnosability, comparison approach, multiprocessing systems, multiprocessor systems, polynomial time algorithm, faulty processors
24Johan Stärner, Joakim Adomat, John Furunäs, Lennart Lindh Real-Time Scheduling Co-Processor in Hardware for Single and Multiprocessor Systems. Search on Bibsonomy EUROMICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF real-time scheduling co-processor, predictable time behaviour, digital chip, Real-Time Unit, global resources, task synchronisation, multiprocessor systems, timing analysis, processor scheduling
24Edward David Moreno Ordonez, Sergio Takeo Kofuji Performance evaluation of the fixed sequential prefetching on a bus-based multiprocessor: preliminary results. Search on Bibsonomy ISPAN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF fixed sequential prefetching, bus-based multiprocessor, sequential prefetching, OBL policy, performance evaluation, performance evaluation, Petri nets, Petri nets, shared memory systems, shared memory systems, cache storage, data prefetching
24Xuemin Lin 0001, Simon Fox An effective parallelization of execution of multijoins in multiprocessor systems. Search on Bibsonomy ISPAN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF multijoins execution, synchronous execution strategy, processor allocation problem, inter-operator parallelization problem, parallelization, relational databases, multiprocessing systems, multiprocessor systems, processor scheduling
24Victor B. Lortz, Kang G. Shin Semaphore Queue Priority Assignment for Real-Time Multiprocessor Synchronization. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF multiprocessor synchronization, concurrency control, Real-time scheduling, priority assignment
24Cosimo Antonio Prete, Luigi M. Ricciardi, Gianpaolo Prina Reducing coherence-related overhead in multiprocessor systems. Search on Bibsonomy PDP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF coherence-related overhead, snooping protocol, shared copies, performance evaluation, caching, consistency, multiprocessing systems, multiprocessor systems, shared memory systems, concurrent processes
24Gary S. H. Tan, Yong Meng Teo Experiences in simulating a declarative multiprocessor. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF declarative multiprocessor simulation, declarative programming languages, Flagship parallel reduction machine, packet-based graph reduction model, executional units, timing characteristics, event-driven timing scheme, tightly-coupled processor-store pairs, performance evaluation, performance evaluation, parallel architectures, virtual machines, timing, parallel machines, synchronisation, synchronisation, parallel languages, functional languages, functional languages, functional simulator, parallel computer architectures, MIMD architecture, delta network
24Apratim Purakayastha, Carla Schlatter Ellis, David Kotz, Nils Nieuwejaar, Michael L. Best Characterizing parallel file-access patterns on a large-scale multiprocessor. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF parallel file-access patterns, performance evaluation, parallel machines, workload, workloads, file organisation, parallel file systems, CM-5, parallel scientific applications, usage studies, large-scale multiprocessor
24Bapiraju Vinnakota, Niraj K. Jha Design of Algorithm-Based Fault-Tolerant Multiprocessor Systems for Concurrent Error Detection and Fault Diagnosis. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF fault-tolerant multiprocessor systems, algorithm-basedmultiprocessor systems, algorithm-based faulttolerance, low-overhead system-level error detection, fault location scheme, ABFTsystems, design procedure, data element sharing, ABFT system design, reliability, fault diagnosis, fault tolerant computing, multiprocessing systems, fault location, system recovery, concurrent error detection, parallelarchitectures
24Helmar Burkhart, Rudolf Eigenmann, Heinz Kindlimann, Michael Moser, Heinz Scholian The M3 Multiprocessor Laboratory. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF M/sup 3/ multiprocessor laboratory, integrated programming environment, softwaredevelopment cycle, parallel program, parallel programming, debugging, programming, programming environments, performance measurement, configuration
24Chia Shen, Krithi Ramamritham, John A. Stankovic Resource Reclaiming in Multiprocessor Real-Time Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF multiprocessor real-time systems, worst case computation times, resource reclaiming, scheduling, real-time systems, resource allocation, multiprocessing systems, real-time scheduling, deadline, local optimization, resource requirements, computationalcomplexity
24Gilbert C. Sih, Edward A. Lee Declustering: A New Multiprocessor Scheduling Technique. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF acyclicprecedence graphs, scheduling, multiprocessing systems, contention, multiprocessor scheduling, interprocessor communication, declustering, graph-analysis, shared resource, scheduling heuristic, compile-time
24Thin-Fong Tsuei, Mary K. Vernon A Multiprocessor Bus Design Model Validated by System Measurement. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF commercial multiprocessor bus, bus design, asynchronous memorywrite operations, in-order delivery, processor read requests, memoryresponses, outstanding processor requests, two-level hierarchical model, mean value analysis techniques, measured system performance, parallel program workloads, memory access characteristics, analytic queueing models, model tractability, detailed simulation, system design tradeoffs, parallel programming, formal verification, Markov chain, Markov processes, queueing theory, multiprocessing systems, queueing networks, system buses, priority scheduling, performanceevaluation, system measurement
24Nayeem Islam, Roy H. Campbell Design Considerations for Shared Memory Multiprocessor Message Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF comparative performance, message passing system designs, shared memory EncoreMultimax multiprocessor, example parallel applications, shared memorymachine results, Intel iPSC/2, NX/2 operating system, bufferorganization, value semantics, kernel space, memory caching, message sizes, synchronization, benchmarks, message passing, program testing, parallel machines, shared memory systems, design alternatives, parallelprogramming, copying, coordination strategy
24Imadeldin O. Mahgoub, Ahmed K. Elmagarmid Performance Analysis of a Generalized Class of M-Level Hierarchical Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF hierarchical multiprocessor systems, system bandwidth, hierarchically nonuniform reference, local requests, m-level system, crossbar system, performance evaluation, performance analysis, probability, multiprocessing systems, multiprocessorinterconnection networks, memory modules, multiple-bus system
24János Sztrik, Demetres D. Kouvatsos Asymptotic Analysis of a Heterogeneous Multiprocessor System in a Randomly Changing Environment. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF asymptotic queuing theoretic approach, heterogeneous multiprocessor computer system, randomly changing environment, stochastic times, random environment, service rates, busy period length, exponentially distributed random variable, steady-state performance measures, mean delay time, expected waiting time, performance evaluation, reliability, queueing theory, multiprocessing systems, stochastic processes, bus, system throughput, FCFS
24Krithi Ramamritham, John A. Stankovic, Perng-Fei Shiah Efficient Scheduling Algorithms for Real-Time Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF real-time multiprocessor systems, worst-case computation times, partial schedule, scheduling, real-time systems, multiprocessing systems, scheduling algorithms, dynamic scheduling, deadlines, deadlines, resources requirements, feasible schedule, heuristic functions
24Lionel M. Ni, Ching-Farn Eric Wu Design Tradeoffs for Process Scheduling in Shared Memory Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF hill climbing simulation, software bottleneck, monitor bottleneck, low process utilization, load imbalancing, memory requests, fixed monitor selection, supervisory programs, scheduling, performance evaluation, performance evaluation, multiprocessing systems, process scheduling, multiprocessing programs, shared memory multiprocessor systems
24Ghassan Z. Qadah, Keki B. Irani The Join Alogorithms on a Shared-Memory Multiprocessor Database Machine. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF shared-memory multiprocessor database machine, performance evaluation, performance evaluation, parallel algorithms, parallel algorithms, parallel architectures, parallel architectures, relational databases, relational databases, special purpose computers, join algorithms
23Ari Kulmala, Erno Salminen, Marko Hännikäinen, Timo D. Hämäläinen Evaluating SoC Network Performance in MPEG-4 Encoder. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF FPGA multiprocessor, Multiprocessor, System-on-chip, Network-on-chip, MPEG-4, MPSoC, On-chip interconnection
23M. A. Sarwar, Alan D. George, David E. Collins Reliability Modeling of SCI Ring-Based Topologies. Search on Bibsonomy LCN The full citation details ... 2000 DBLP  DOI  BibTeX  RDF SCI ring-based topologies, cluster interconnects, point-to-point ring-based interconnect, switched ring topologies, 1D k-ary n-cube switching fabrics, 2D k-ary n-cube switching fabrics, UltraSAN, single-ring system, redundant ring, fault tolerance, Petri nets, multiprocessor interconnection networks, network topology, reliability modeling, link failures, system buses, multiprocessor interconnects, scalable coherent interface
23Rong-Yuh Hwang An Efficient Technique of Instruction Scheduling on a Superscalar-Based Mulprocessor. Search on Bibsonomy IPPS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF FLASH multiprocessor, block data transfer, multiple communication protocols, embedded protocol processor, protocol, shared memory, prefetching, cache storage, FLASH, cache coherence protocol, multiprocessor architecture, MAGIC
23Jens Kargaard Madsen, Stephen I. Long A High-Speed Interconnect Network Using Ternary Logic. Search on Bibsonomy ISMVL The full citation details ... 1995 DBLP  DOI  BibTeX  RDF high-speed interconnect network, STARI, delay differences, crossbar topology, LSI GaAs chips, MESFET process, multiprocessor interconnection networks, multiprocessor system, buffers, clock skew, ternary logic, ternary logic, point-to-point communication
23Kelvin K. Yue, David J. Lilja Parameter estimation for a generalized parallel loop scheduling algorithm. Search on Bibsonomy HICSS (2) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF generalized parallel loop scheduling algorithm, strategy evolution, CS-2, FS-alt, chunk scheduling, genetic algorithms, genetic algorithm, scheduling, parallel algorithms, virtual machines, parameter estimation, parameter estimation, shared-memory multiprocessor, shared memory systems, factoring, program control structures, multiprocessor simulator
23Sivaramakrishnan Venkatraman, Sharad C. Seth, Prathima Agrawal Parallel test generation with low communication overhead. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF parallel test generation, low communication overhead, dynamic search-space allocation strategy, Sequent multiprocessor, parallel algorithms, logic testing, Boolean functions, analytical model, combinational circuits, shared memory multiprocessor, shared memory systems, processor scheduling, software performance evaluation, boolean satisfiability, combinational logic, greedy heuristic
23Ronald D. Fellman, Robert Grygiel, Isaac Chu The effect of preemptive queuing in a priority-based real-time network. Search on Bibsonomy ICECCS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF preemptive queuing, priority-based real-time network, real-time multiprocessor system, interprocessor communications network entrance, data buffering, simulations, performance evaluation, real-time systems, virtual machines, multiprocessor interconnection networks, queueing theory, packet switching, packet switches, performance improvement
23Tsern-Huei Lee, Jin-Jye Chou Testing the Dynamic Full Access Property of a Class of Multistage Interconnection Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF dynamic full access property, multistage interconnectionnetworks, faulty banyan networ, multiprocessor system reconfiguration, test procedure, faulty switching elements, fault tolerant computing, probability, multiprocessor interconnection networks, reconfigurable architectures, computer testing, network decomposition
23Qing Yang 0001, George Thangadurai, Laxmi N. Bhuyan Design of an Adaptive Cache Coherence Protocol for Large Scale Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF adaptive cache coherence protocol, cache-based multiprocessor, cache coherence scheme, memoryarchitecture, protocols, multiprocessor interconnection networks, multistage interconnection network, buffer storage, hierarchical network
23Victor E. Mendia, Dilip Sarkar Optimal Broadcasting on the Star Graph. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF message broadcasting, all-to-all broadcasting algorithm, parallel algorithms, parallel algorithms, computational complexity, graph theory, multiprocessor interconnection network, multiprocessor interconnection networks, symmetry, optimal algorithm, star graph, one-to-all broadcasting, recursive partitioning, n-cube, faulttolerant
23Shuo-Hsien Hsiao, C. Y. Roger Chen Performance Evaluation of Circuit Switched Multistage Interconnection Networks Using a Hold Strategy. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF message size, circuit switched multistage interconnection networks, hold strategy, processor-memory communications, processor processing time, closed queuing network model, performance evaluation, performance evaluation, multiprocessor interconnection networks, queueing theory, multiprocessor systems, switching theory, memory access
23Ishfaq Ahmad, Arif Ghafoor Semi-Distributed Load Balancing For Massively Parallel Multicomputer Systems. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF massively parallel multicomputer systems, semidistributed approach, fully distributed approaches, two-level hierarchical control, independent symmetric regions, distance transitive graphs, scheduling points, fully distributed strategy, scheduling, distributed systems, computational complexity, load balancing, parallel architectures, multiprocessor interconnection networks, parallel machines, multiprocessor system, NP-complete problem, simulation study, interconnection structure, interconnection structures, state information, Hadamard matrix, combinatorial structure
20Peter Sewell Memory, an elusive abstraction. Search on Bibsonomy ISMM The full citation details ... 2010 DBLP  DOI  BibTeX  RDF semantics, relaxed memory models
20Myoung-Jo Jung, Yeong Rak Seong, Cheol-Hoon Lee Optimal RM scheduling for simply periodic tasks on uniform multiprocessors. Search on Bibsonomy ICHIT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
20Gautam Altekar, Ion Stoica ODR: output-deterministic replay for multicore debugging. Search on Bibsonomy SOSP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF debugging, multicore, inference, deterministic replay
20Hamid R. Arabnia A Scalable Network Topology for Medical Imaging. Search on Bibsonomy HPCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Nagesh B. Lakshminarayana, Hyesoon Kim Understanding performance, power and energy behavior in asymmetric multiprocessors. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Björn B. Brandenburg, James H. Anderson A Comparison of the M-PCP, D-PCP, and FMLPon LITMUSRT. Search on Bibsonomy OPODIS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20N. V. Bocharov Concurrent Programming Technologies and Techniques. Search on Bibsonomy Program. Comput. Softw. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Les Gasser, Kelvin Kakugawa MACE3J: fast flexible distributed simulation of large, large-grain multi-agent systems. Search on Bibsonomy AAMAS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Dilma Da Silva, Karsten Schwan, Greg Eisenhauer CTK: Configurable Object Abstractions for Multiprocessors. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF high-performance objects, object fragmentation, complex parallel programming, library operating systems, dynamic adaptation, Configurable systems
20Riccardo Foschia, Thomas Rauber, Gudula Rünger Modeling the Communication Behavior of the Intel Paragon. Search on Bibsonomy MASCOTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
20Diana Göhringer, Michael Hübner 0001, Michael Benz, Jürgen Becker 0001 A semi-automatic toolchain for reconfigurable multiprocessor systems-on-chip: architecture development and application partitioning (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF designflow, toolchain, fpga, partitioning, reconfigurable computing, mpsoc, hardware/software co-design
20Marco Ceriani, Fabrizio Ferrandi, Pier Luca Lanzi, Donatella Sciuto, Antonino Tumeo Multiprocessor systems-on-chip synthesis using multi-objective evolutionary computation. Search on Bibsonomy GECCO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF multi-objective evolution, systems-on-chip synthesis
20Dongyoon Lee, Benjamin Wester, Kaushik Veeraraghavan, Satish Narayanasamy, Peter M. Chen, Jason Flinn Respec: efficient online multiprocessor replayvia speculation and external determinism. Search on Bibsonomy ASPLOS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF external determinism, speculative execution, replay
20Khaled Z. Ibrahim, Smaïl Niar Power-Aware Bus Coscheduling for Periodic Realtime Applications Running on Multiprocessor SoC. Search on Bibsonomy Trans. High Perform. Embed. Archit. Compil. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
20Marko Bertogna, Michele Cirinei, Giuseppe Lipari Schedulability Analysis of Global Scheduling Algorithms on Multiprocessor Platforms. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
20Bruno Girodias, Youcef Bouchebaba, Gabriela Nicolescu, El Mostapha Aboulhamid, Pierre G. Paulin, Bruno Lavigueur Multiprocessor, Multithreading and Memory Optimization for On-Chip Multimedia Applications. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Multiprocessors System on Chip (MPSoC), Optimizations, Multimedia, Parallelism, Memory, Multi-threading
20Rong Deng, Changjun Jiang, Fei Yin Ant colony optimization for precedence-constrained heterogeneous multiprocessor assignment problem. Search on Bibsonomy GEC Summit The full citation details ... 2009 DBLP  DOI  BibTeX  RDF precedence-constrained problems, ant colony optimization, heterogeneous environment, ant colony system, DAG scheduling
20Pablo Montesinos, Matthew Hicks, Samuel T. King, Josep Torrellas Capo: a software-hardware interface for practical deterministic multiprocessor replay. Search on Bibsonomy ASPLOS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF capo, capoone, replay sphere, deterministic replay
20Rodolfo Pellizzoni, Marco Caccamo M-CASH: A real-time resource reclaiming algorithm for multiprocessor platforms. Search on Bibsonomy Real Time Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Real-time resource reservation, Real-time scheduling, Symmetric multiprocessors
20UmaMaheswari C. Devi, James H. Anderson Tardiness bounds under global EDF scheduling on a multiprocessor. Search on Bibsonomy Real Time Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Partitioning, Migrations, EDF, Preemptions, Soft real-time, Global scheduling, Tardiness
20Keqin Li 0001 Performance Analysis of Power-Aware Task Scheduling Algorithms on Multiprocessor Computers with Dynamic Voltage and Speed. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Ilya Issenin, Erik Brockmeyer, Bart Durinck, Nikil D. Dutt Data-Reuse-Driven Energy-Aware Cosynthesis of Scratch Pad Memory and Hierarchical Bus-Based Communication Architecture for Multiprocessor Streaming Applications. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Antonino Tumeo, Marco Branca, Lorenzo Camerini, Marco Ceriani, Matteo Monchiero, Gianluca Palermo, Fabrizio Ferrandi, Donatella Sciuto A Dual-Priority Real-Time Multiprocessor System on FPGA for Automotive Applications. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Madhura Purnaprajna, Christoph Puttmann, Mario Porrmann Power Aware Reconfigurable Multiprocessor for Elliptic Curve Cryptography. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Diana Göhringer, Michael Hübner 0001, Thomas Perschke, Jürgen Becker 0001 New dimensions for multiprocessor architectures: Ondemand heterogeneity, infrastructure and performance through reconfigurability - the RAMPSoC approach. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Alexander Klimm, Lars Braun, Jürgen Becker 0001 An adaptive and scalable multiprocessor system For Xilinx FPGAs using minimal sized processor cores. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Georgios-Grigorios Mplemenos, Ioannis Papaefstathiou MPLEM: An 80-processor FPGA Based Multiprocessor System. Search on Bibsonomy FCCM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Jian (Denny) Lin, Albert Mo Kim Cheng Real-Time Task Assignment in Rechargeable Multiprocessor Systems. Search on Bibsonomy RTCSA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Jude Angelo Ambrose, Sri Parameswaran, Aleksandar Ignjatovic MUTE-AES: a multiprocessor architecture to prevent power analysis based side channel attack of the AES algorithm. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Lars Lundberg, Håkan Lennerstad Slack-based global multiprocessor scheduling of aperiodic tasks in parallel embedded real-time systems. Search on Bibsonomy AICCSA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20George W. Dunlap, Dominic G. Lucchetti, Michael A. Fetterman, Peter M. Chen Execution replay of multiprocessor virtual machines. Search on Bibsonomy VEE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF ReVirt, direct memory access, execution replay, hardware page protections, virtual machines, multiprocessors, multithreading, determinism, Xen
20Francesco Poletti, Antonio Poggiali, Davide Bertozzi, Luca Benini, Pol Marchal, Mirko Loghi, Massimo Poncino Energy-Efficient Multiprocessor Systems-on-Chip for Embedded Computing: Exploring Programming Models and Their Architectural Support. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF embedded multimedia, low power, energy efficiency, MPSoCs, programming models, task-level parallelism
20Liliana Cucu, Joël Goossens Feasibility intervals for multiprocessor fixed-priority scheduling of arbitrary deadline periodic systems. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Fawnizu Azmadi Hussin, Tomokazu Yoneda, Alex Orailoglu, Hideo Fujiwara Core-Based Testing of Multiprocessor System-on-Chips Utilizing Hierarchical Functional Buses. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Lothar Thiele, Iuliana Bacivarov, Wolfgang Haid, Kai Huang 0001 Mapping Applications to Tiled Multiprocessor Embedded Systems. Search on Bibsonomy ACSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Hsin-Wen Wei, Yi-Hsiung Chao, Shun-Shii Lin, Kwei-Jay Lin, Wei-Kuan Shih Current Results on EDZL Scheduling for Multiprocessor Real-Time Systems. Search on Bibsonomy RTCSA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Akira Yamawaki 0002, Masahiko Iwane An FPGA implementation of a snoop cache with synchronization for a multiprocessor system-on-chip. Search on Bibsonomy ICPADS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Yanhong Liu, Bharadwaj Veeravalli, Sivakumar Viswanathan Novel critical-path based low-energy scheduling algorithms for heterogeneous multiprocessor real-time embedded systems. Search on Bibsonomy ICPADS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Changjiu Xian, Yung-Hsiang Lu, Zhiyuan Li 0001 Energy-Aware Scheduling for Real-Time Multiprocessor Systems with Uncertain Task Execution Time. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Alaa R. Alameldeen, David A. Wood 0001 IPC Considered Harmful for Multiprocessor Workloads. Search on Bibsonomy IEEE Micro The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Instructions per cycle, multithreaded workloads, simulation, IPC
20Pierre G. Paulin, Chuck Pilkington, Michel Langevin, Essaid Bensoudane, Damien Lyonnard, Olivier Benny, Bruno Lavigueur, David Lo 0002, Giovanni Beltrame, Vincent Gagné, Gabriela Nicolescu Parallel programming models for a multiprocessor SoC platform applied to networking and multimedia. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Sanjoy K. Baruah, Nathan Fisher The Partitioned Multiprocessor Scheduling of Deadline-Constrained Sporadic Task Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF multiprocessors, resource augmentation, Sporadic tasks, partitioned scheduling
20Shaoxiong Hua, Gang Qu 0001, Shuvra S. Bhattacharyya Energy-efficient embedded software implementation on multiprocessor system-on-chip with multiple voltages. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF completion ratio, Energy minimization, hardware/software co-design, multi-processor, multiple voltage
20Radu Marculescu, Ümit Y. Ogras, Nicholas H. Zamora Computation and communication refinement for multiprocessor SoC design: A system-level perspective. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF communication, Embedded systems, performance analysis, prototype, Markov chains, systems-on-chip, networks-on-chip, traffic, energy optimization
20Simone Borgio, Davide Bosisio, Fabrizio Ferrandi, Matteo Monchiero, Marco D. Santambrogio, Donatella Sciuto, Antonino Tumeo Hardware DWT accelerator for MultiProcessor System-on-Chip on FPGA. Search on Bibsonomy ICSAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Sanjoy K. Baruah, Nathan Fisher The Feasibility Analysis of Multiprocessor Real-Time Systems. Search on Bibsonomy ECRTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Wayne H. Wolf Multiprocessor Systems-on-Chips. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Frédéric Pétrot, Alain Greiner, Pascal Gomez On Cache Coherency and Memory Consistency Issues in NoC Based Shared Memory Multiprocessor SoC Architectures. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Jörg-Christian Niemann, Christoph Puttmann, Mario Porrmann, Ulrich Rückert 0001 GigaNetIC - A Scalable Embedded On-Chip Multiprocessor Architecture for Network Applications. Search on Bibsonomy ARCS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Wolfgang Heenes, Rolf Hoffmann, Johannes Jendrsczok A multiprocessor architecture for the massively parallel model GCA. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Riad Ben Mouhoub, Omar Hammami Multiprocessor on chip: beating the simulation wall through multiobjective design space exploration with direct execution. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Faezeh Montazeri, Mehdi Salmani Jelodar, S. Najmeh Fakhraie, Seid Mehdi Fakhraie Evolutionary Multiprocessor Task Scheduling. Search on Bibsonomy PARELEC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Benaoumeur Senouci, Aimen Bouchhima, Frédéric Rousseau 0001, Frédéric Pétrot, Ahmed Amine Jerraya Fast Prototyping of POSIX Based Applications on a Multiprocessor SoC Architecture: "Hardware-Dependent Software Oriented Approach". Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Milan Pastrnak, Peter H. N. de With, Jef L. van Meerbergen Realization of QoS management using negotiation algorithms for multiprocessor NoC. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Wayne H. Wolf Design Challenges in Multiprocessor Systems-on-Chip. Search on Bibsonomy DIPES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 7713 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license