The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase self-test (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1973-1983 (15) 1984-1986 (25) 1987-1988 (27) 1989-1990 (45) 1991 (27) 1992 (23) 1993 (21) 1994 (30) 1995 (64) 1996 (54) 1997 (53) 1998 (60) 1999 (50) 2000 (87) 2001 (71) 2002 (63) 2003 (84) 2004 (88) 2005 (82) 2006 (78) 2007 (77) 2008 (69) 2009 (43) 2010 (43) 2011 (21) 2012 (25) 2013 (15) 2014 (23) 2015 (22) 2016 (21) 2017-2018 (36) 2019 (22) 2020 (15) 2021 (20) 2022 (20) 2023 (19) 2024 (1)
Publication types (Num. hits)
article(548) book(2) incollection(1) inproceedings(972) phdthesis(16)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2021 occurrences of 633 keywords

Results
Found 1539 publication records. Showing 1539 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
16Zhaoliang Pan, Melvin A. Breuer Estimating Error Rate in Defective Logic Using Signature Analysis. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Binning integrated circuits, effective yield, signature testing, error rate, error tolerance, yield loss
16Hafizur Rahaman 0001, Jimson Mathew, Dhiraj K. Pradhan Constant Function Independent Test Set for Fault Detection in Bit Parallel Multipliers in GF(2^m). Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Jiann-Chyi Rau, Po-Han Wu, Chia-Jung Liu A Novel Hardware Architecture for Low Power and Rapid Testing of VLSI Circuits. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Cheng-Wen Wu SOC Testing Methodology and Practice. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Ernesto Sánchez 0001, Matteo Sonza Reorda, Giovanni Squillero On the Transformation of Manufacturing Test Sets into On-Line Test Sets for Microprocessors. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Lei Li 0036, Krishnendu Chakrabarty Test set embedding for deterministic BIST using a reconfigurable interconnection network. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Ozgur Sinanoglu, Alex Orailoglu Autonomous Yet Deterministic Test of SOC Cores. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Christophe Fagot, Olivier Gascuel, Patrick Girard 0001, Christian Landrault A Ring Architecture Strategy for BIST Test Pattern Generation. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF pseudo-random testing, deterministic BIST, logic BIST
16Elham Safi, Zohreh Karimi, Maghsoud Abbaspour, Zainalabedin Navabi Utilizing Various ADL Facets for Instruction Level CPU Test. Search on Bibsonomy MTV The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Hiroshi Takahashi, Yasunori Tsugaoka, Hidekazu Ayano, Yuzo Takamatsu BIST Based Fault Diagnosis Using Ambiguous Test Set. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Peter Wohl, John A. Waicukauski, Sanjay Patel, Minesh B. Amin X-Tolerant Compression And Application of Scan-ATPG Patterns In A BIST Architecture. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Xrysovalantis Kavousianos, Dimitris Bakalis, Dimitris Nikolos, Spyros Tragoudas A new built-in TPG method for circuits with random patternresistant faults. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Marcelino B. Santos, Isabel C. Teixeira, João Paulo Teixeira 0001, Salvador Manich, Rosa Rodríguez-Montañés, Joan Figueras RTL Level Preparation of High-Quality/Low-Energy/Low-Power BIST. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Kun-Han Tsai, Janusz Rajski, Malgorzata Marek-Sadowska Star test: the theory and its applications. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16C. P. Ravikumar, Gaurav Chandra, Ashutosh Verma Simultaneous Module Selection and Scheduling for Power-Constrained Testing of Core Based Systems. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Paulo F. Flores, Horácio C. Neto, Krishnendu Chakrabarty, João Marques-Silva 0001 Test pattern generation for width compression in BIST. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Dimitrios Kagaris, Spyros Tragoudas, Dinesh Bhatia Pseudo-exhaustive built-in TPG for sequential circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
16Mody Lempel, Sandeep K. Gupta 0001, Melvin A. Breuer Test embedding with discrete logarithms. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
16Chien-In Henry Chen, Joel T. Yuen Automated synthesis of pseudo-exhaustive test generator in VLSI BIST design. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
16Frans P. M. Beenker, Barry J. Dekker, Richard Stans, Max van der Star Implementing Macro Test in Silicon Compiler Design. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
15Anand Gopalan, Tejasvi Das, Clyde Washburn, P. R. Mukund An Ultra-Fast, On-Chip BiST for RF Low Noise Amplifiers. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Nektarios Kranitis, George Xenoulis, Dimitris Gizopoulos, Antonis M. Paschalis, Yervant Zorian Low-Cost Software-Based Self-Testing of RISC Processor Cores. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Andrzej Krasniewski Design of Dependable Hardware: What BIST is most Efficient? Search on Bibsonomy EDCC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
15Li-Ming Denq, Rei-Fu Huang, Cheng-Wen Wu, Yeong-Jar Chang, Wen Ching Wu A Parallel Built-in Diagnostic Scheme for Multiple Embedded Memories. Search on Bibsonomy MTDT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Praveen Parvathala, Kaila Maneparambil, William Lindsay FRITS - A Microprocessor Functional BIST Method. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Andreas Apostolakis, Dimitris Gizopoulos, Mihalis Psarakis, Antonis M. Paschalis Functional Self-Testing for Bus-Based Symmetric Multiprocessors. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14V. Vireen, N. Venugopalachary, G. Seetharaman, B. Venkataramani Built in Self Test Based Design of Wave-Pipelined Circuits in ASICs. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Yao-Hsin Chou, Sy-Yen Kuo, I-Ming Tsai QBIST: Quantum Built-in Self-Test for any Boolean Circuit. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Zhanglei Wang, Krishnendu Chakrabarty Built-in Self-test and Defect Tolerance in Molecular Electronics-based Nanofabrics. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF nanofabric, CAEN, chemically assembled, reconfiguration, BIST, nanotechnology, defect tolerance, molecular electronics
14Murari Kejariwal, Prasad Ammisetti, John Melanson Built-in self-test mode in a multi-path feedforward compensated operational amplifier. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14R. Dean Adams, Robert Abbott, Xiaoliang Bai, Dwayne Burek, Eric MacDonald An Integrated Memory Self Test and EDA Solution. Search on Bibsonomy MTDT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Hak-soo Yu, Sungbae Hwang, Jacob A. Abraham DSP-Based Statistical Self Test of On-Chip Converters. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Chih-Wea Wang, Chi-Feng Wu, Jin-Fu Li 0001, Cheng-Wen Wu, Tony Teng, Kevin Chiu, Hsiao-Ping Lin A Built-in Self-Test Scheme with Diagnostics Support for Embedded SRAM. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF memory BIST, memory diagnostics, memory testing, RAM, semiconductor memory
14Y. Tsiatouhas, Th. Haniotakis, Angela Arapoyanni, Dimitris Nikolos A Versatile Built-In Self-Test Scheme for Delay Fault Testing. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Gloria Huertas, Diego Vázquez, Adoración Rueda, José L. Huertas Built-In Self-Test in Mixed-Signal ICs: A DTMF Macrocell. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Ivo Schanstra, Dharmajaya Lukita, Ad J. van de Goor, Kees Veelenturf, Paul J. van Wijnen Semiconductor manufacturing process monitoring using built-in self-test for embedded memories. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF diagnosis, BIST, fault localization, process monitoring, bitmap, RAM testing, microcode
14Benoît R. Veillette, Gordon W. Roberts Stimulus generation for built-in self-test of charge-pump phase-locked loops. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
14Janusz Rajski, Nagesh Tamarapalli, Jerzy Tyszer Automated synthesis of large phase shifters for built-in self-test. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
14Fulvio Corno, Paolo Prinetto, Matteo Sonza Reorda Circular Self-Test Path for FSMs. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
14T. C. Davies, Dhamin Al-Khalili, Valek Szwarc A floating-point systolic array processing element with serial communication and built-in self-test. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
14Vishwani D. Agrawal, Charles R. Kime, Kewal K. Saluja A Tutorial on Built-in Self-Test. I. Principles. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
14Chun-Yeh Liu, Kewal K. Saluja, Shambhu J. Upadhyaya BIST-PLA: A Built-in Self-Test Design of Large Programmable Logic Arrays. Search on Bibsonomy DAC The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
14Dong Xiang, Mingjing Chen, Jia-Guang Sun Scan BIST with biased scan test signals. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF random testability, test signal, biased random testing, scan-based BIST
14Malav Shah, Dipankar Nagchoudhuri BIST Scheme for Low Heat Dissipation and Reduced Test Application Time. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Hanqing Xing, Degang Chen 0001, Randall L. Geiger Linearity test for high resolution DACs using low-accuracy DDEM flash ADCs. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Reza M. Rad, Mohammad Tehranipoor SCT: An Approach For Testing and Configuring Nanoscale Devices. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Shao Chee Ong Enabling Test-Time Optimized Pseudorandom Bit Stream (PRBS) 2^31 BER Testing on Automated Test Equipment for 10Gbps Device. Search on Bibsonomy DELTA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Masood ul-Hasan, Yichuang Sun Oscillation-based Test Method for Continuous-time OTA-C Filters. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Luís Rolíndez, Salvador Mir, Guillaume Prenat, Ahcène Bounceur A 0.18 µm CMOS Implementation of On-chip Analogue Test Signal Generation from Digital Test Patterns. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Hafizur Rahaman 0001, Debesh K. Das, Bhargab B. Bhattacharya Easily Testable Realization of GRM and ESOP Networks for Detecting Stuck-at and Bridging Faults. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Kuo-Liang Cheng, Ming-Fu Tsai, Cheng-Wen Wu Neighborhood pattern-sensitive fault testing and diagnostics for random-access memories. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Der-Cheng Huang, Wen-Ben Jone A parallel transparent BIST method for embedded memory arrays bytolerating redundant operations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Lihong Tong, Kazuki Suzuki, Hideo Ito Optimal Seed Generation for Delay Fault Detection BIST. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Hamidreza Hashempour, Fred J. Meyer, Fabrizio Lombardi Test Time Reduction in a Manufacturing Environment by Combining BIST and ATE. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Hamed Farshbaf, Mina Zolfy, Shahrzad Mirkhani, Zainalabedin Navabi Fault Simulation for VHDL Based Test Bench and BIST Evaluation. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Irith Pomeranz, Sudhakar M. Reddy On the Use of Fully Specified Initial States for Testing of Synchronous Sequential Circuits. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF irredundant faults, built-in test generation, test generation, synchronous sequential circuits, Initial states
14Michael Cogswell, Don Pearl, James Sage, Alan Troidl An Automatic Validation Methodology for Logic BIST in High Performance VLSI Design. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Ondrej Novák Pseudorandom, Weighted Random and Pseudoexhaustive Test Patterns Generated in Universal Cellular Automata. Search on Bibsonomy EDCC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF linear cyclic codes, hardware test pattern generators, weighted random testing, Cellular automata, BIST, linear feedback shift registers, pseudoexhaustive testing
14Patrick Girard 0001, Loïs Guiller, Christian Landrault, Serge Pravossoudovitch A Test Vector Inhibiting Technique for Low Energy BIST Design. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Huan-Chih Tsai, Kwang-Ting Cheng, Chih-Jen Lin, Sudipta Bhawmik Efficient test-point selection for scan-based BIST. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
14Rainer Dorsch, Hans-Joachim Wunderlich Accumulator based deterministic BIST. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF hardware pattern generator, BIST, embedded cores
14Magdy S. Abadir, Ashish Parikh, Linda Bal, Peter Sandborn, Cynthia F. Murphy High Level Test Economics Advisor (Hi-TEA). Search on Bibsonomy J. Electron. Test. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF MCM testing strategies, multichip module, test economics
14David L. Landis A test methodology for wafer scale system. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
14Hans-Joachim Wunderlich Multiple distributions for biased random test patterns. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
14Lorena Anghel, Riccardo Cantoro, Riccardo Masante, Michele Portolan, Sandro Sartoni, Matteo Sonza Reorda Self-Test Library Generation for In-Field Test of Path Delay Faults. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Senling Wang, Xihong Zhou, Yoshinobu Higami, Hiroshi Takahashi, Hiroyuki Iwata, Yoichi Maeda, Jun Matsushima Test Point Insertion for Multi-Cycle Power-On Self-Test. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Annachiara Ruospo, Gabriele Gavarini, A. Porsia, Matteo Sonza Reorda, Ernesto Sánchez 0001, Riccardo Mariani, J. Aribido, Jyotika Athavale Image Test Libraries for the on-line self-test of functional units in GPUs running CNNs. Search on Bibsonomy ETS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Yang Sun, Spencer K. Millican Applying Artificial Neural Networks to Logic Built-in Self-test: Improving Test Point Insertion. Search on Bibsonomy J. Electron. Test. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
14Vishnupriya Shivakumar, Chinnaiyan Senthilpari, Zubaida Binti Yusoff Test power and area optimized logic built-in self-test with higher fault coverage for automobile SoCs. Search on Bibsonomy Microelectron. J. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
14Jia-Ruei Liang, Ya-Ni Hsieh, Jiun-Lang Huang Test Response Compaction for Software-Based Self-Test. Search on Bibsonomy ITC-Asia The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
14Irith Pomeranz Storage-Based Logic Built-In Self-Test with Variable-Length Test Data. Search on Bibsonomy DFT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
14Tao Chen 0006, Chulhyun Park, Hao Meng, Dadian Zhou, José Silva-Martínez, Randall L. Geiger, Degang Chen 0001 A Low-Cost On-Chip Built-In Self-Test Solution for ADC Linearity Test. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
14Serge N. Demidenko, Moi-Tin Chew, B. T. Nguyen, Melanie Po-Leen Ooi, Ye Chow Kuang Logic Built-In Self-Test Instrumentation System for Engineering Test Technology Education. Search on Bibsonomy I2MTC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
14Yang Sun, Spencer K. Millican, Vishwani D. Agrawal Special Session: Survey of Test Point Insertion for Logic Built-in Self-test. Search on Bibsonomy VTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
14Kuen-Jong Lee, Bo-Ren Chen, Michael Andreas Kochte On-Chip Self-Test Methodology With All Deterministic Compressed Test Patterns Recorded in Scan Chains. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
14Ching-Yuan Chen, Jiun-Lang Huang Reinforcement-Learning-Based Test Program Generation for Software-Based Self-Test. Search on Bibsonomy ATS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
14Dong Xiang, Xiaoqing Wen, Laung-Terng Wang Low-Power Scan-Based Built-In Self-Test Based on Weighted Pseudorandom Test Pattern Generation and Reseeding. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
14Adeboye Stephen Oyeniran, Artjom Jasnetski, Anton Tsertov, Raimund Ubar High-level test data generation for software-based self-test in microprocessors. Search on Bibsonomy MECO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
14Kuen-Jong Lee, Pin-Hao Tang, Michael A. Kochte An on-chip self-test architecture with test patterns recorded in scan chains. Search on Bibsonomy ITC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
14Mahdieh Nadi Senejani, Mahdiar Hosein Ghadiry, Chia Yee Ooi, Muhammad Nadzir Marsono Built-in Self Test Power and Test Time Analysis in On-chip Networks. Search on Bibsonomy Circuits Syst. Signal Process. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Ran Wang 0002, Krishnendu Chakrabarty, Sudipta Bhawmik Built-In Self-Test and Test Scheduling for Interposer-Based 2.5D IC. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Christian G. Zöllin Test planning for low-power built-in self test. Search on Bibsonomy 2015   RDF
14Prakash Narayanan, Satish Ravichandran, Balaji Ramayanam Novel self-test methods to reduce on-chip memory requirements and improved test coverage. Search on Bibsonomy IOLTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Aiwu Ruan, Shi Kang, Yu Wang, Xiao Han, Zujian Zhu, Yongbo Liao, Peng Li A Built-In Self-Test (BIST) system with non-intrusive TPG and ORA for FPGA test and diagnosis. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
14Yuki Fukazawa, Tsuyoshi Iwagaki, Hideyuki Ichihara, Tomoo Inoue A Transient Fault Tolerant Test Pattern Generator for On-line Built-in Self-Test. Search on Bibsonomy Asian Test Symposium The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
14Yu-Jen Huang, Jin-Fu Li 0001 Low-Cost Self-Test Techniques for Small RAMs in SOCs Using Enhanced IEEE 1500 Test Wrappers. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
14Hyunjin Kim, Jacob A. Abraham A Built-in Self-Test Scheme for Memory Interfaces Timing Test and Measurement. Search on Bibsonomy J. Electron. Test. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
14Davide Sabena, Luca Sterpone, Matteo Sonza Reorda On the Automatic Generation of Software-Based Self-Test Programs for Functional Test and Diagnosis of VLIW Processors. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
14Hyunjin Kim, Jacob A. Abraham A Built-In Self-Test scheme for DDR memory output timing test and measurement. Search on Bibsonomy VTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
14Janusz Rajski, Jerzy Tyszer, Grzegorz Mrugalski, Benoit Nadeau-Dostie Test generator with preselected toggling for low power built-in self-test. Search on Bibsonomy VTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
14Balwinder Singh, Sukhleen Bindra Narang, Arun Khosla Analysis of Cellular Automata and Genetic Algorithm based Test Pattern Generators for Built In Self Test. Search on Bibsonomy BIC-TA (1) The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
14A. Ahmad A Simulation Experiment on a Built-In Self Test Equipped with Pseudorandom Test Pattern Generator and Multi-Input Shift Register (MISR) Search on Bibsonomy CoRR The full citation details ... 2011 DBLP  BibTeX  RDF
14Yu-Jen Huang, Jin-Fu Li 0001, Ji-Jan Chen, Ding-Ming Kwai, Yung-Fa Chou, Cheng-Wen Wu A built-in self-test scheme for the post-bond test of TSVs in 3D ICs. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
14Abhishek P. Chhetri, Forrest Wen, Yizhong Wang, Kang Zhang 0001 Shape discrimination test on handheld devices for patient self-test. Search on Bibsonomy IHI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
14Amit Dutta, Malav Shah, G. Swathi, Rubin A. Parekhji Design techniques and tradeoffs in implementing non-destructive field test using logic BIST self-test. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Xinhui Zhang, Chien-In Henry Chen, Arvindkumar Chakravarthy Structure Design and Optimization of 2-D LFSR-Based Multisequence Test Generator in Built-In Self-Test. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Tomoo Inoue, Takashi Fujii, Hideyuki Ichihara A Self-Test of Dynamically Reconfigurable Processors with Test Frames. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Aftab Farooqi MARKOV source based test length optimized scan built-in-self-test architecture. Search on Bibsonomy 2008   RDF
14Shanrui Zhang, Minsu Choi, Nohpill Park, Fabrizio Lombardi Cost-Driven Optimization of Coverage of Combined Built-In Self-Test/Automated Test Equipment Testing. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14V. V. Belkin, S. G. Sharshunov ISA Based Functional Test Generation with Application to Self-Test of RISC Processors. Search on Bibsonomy DDECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 1539 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license