The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for DRAM with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1983-1992 (15) 1993-1994 (30) 1995 (15) 1996 (21) 1997 (33) 1998 (31) 1999 (53) 2000 (55) 2001 (52) 2002 (45) 2003 (50) 2004 (35) 2005 (50) 2006 (56) 2007 (58) 2008 (66) 2009 (75) 2010 (58) 2011 (61) 2012 (77) 2013 (68) 2014 (94) 2015 (119) 2016 (124) 2017 (131) 2018 (126) 2019 (136) 2020 (96) 2021 (123) 2022 (122) 2023 (134) 2024 (37)
Publication types (Num. hits)
article(827) book(1) data(1) inproceedings(1400) phdthesis(17)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 700 occurrences of 376 keywords

Results
Found 2246 publication records. Showing 2246 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
13Shivendra Singh, Ekta Tiwari, Abhinav Gupta, Sneh Saurabh Improving Retention Time of 1T DRAM using Electrostatic Barrier: Proposal and Analysis. Search on Bibsonomy VLSID The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Nikolaos Athanasios Anagnostopoulos, Nico Mexis, Yufan Fan, Stephanie Senjuty Bartsch, Tanja Schaier, Stefan Katzenbeisser 0001, Tolga Arul Studying the Effects of Prolonged Thermal Stress Aiming to Induce Artificial Aging on DRAM Retention-Based Physical Unclonable Functions. Search on Bibsonomy ICCE The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Cong Li, Zhe Zhou, Yang Wang, Fan Yang, Ting Cao, Mao Yang, Yun Liang 0001, Guangyu Sun 0003 PIM-DL: Expanding the Applicability of Commodity DRAM-PIMs for Deep Learning via Algorithm-System Co-Optimization. Search on Bibsonomy ASPLOS (2) The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Daewoong Lee, Jaehyeok Baek, Hye-Jung Kwon, Daehyun Kwon, Chulhee Cho, Sang-Hoon Kim, Donggun An, Chulsoon Chang, Unhak Lim, Jiyeon Im, Wonju Sung, Hye-Ran Kim, Sun-Young Park, Hyoung-Joo Kim, Ho-Seok Seol, Juhwan Kim, Jung-Bum Shin, Gil-Young Kang, Yong-Hun Kim, Sooyoung Kim, Wansoo Park, Seok-Jung Kim, ChanYong Lee, Seungseob Lee, TaeHoon Park, Chi-Sung Oh, Hyodong Ban, Hyungjong Ko, Hoyoung Song, Tae-Young Oh, SangJoon Hwang, Kyung Suk Oh, Jung-Hwan Choi, Jooyoung Lee A 16-Gb T-Coil-Based GDDR6 DRAM With Merged-MUX TX, Optimized WCK Operation, and Alternative-Data-Bus Achieving 27-Gb/s/Pin in NRZ. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Myeong-Jae Park, Jinhyung Lee, Kyungjun Cho, Ji Hwan Park, Junil Moon, Sung-Hak Lee, Tae-Kyun Kim, Sanghoon Oh, Seokwoo Choi, Yongsuk Choi, Ho Sung Cho, Tae-Sik Yun, Young Jun Koo, Jae-Seung Lee, Byung Kuk Yoon, Young Jun Park, Sangmuk Oh, Chang Kwon Lee, Seong-Hee Lee, Hyun-Woo Kim, Yucheon Ju, Seung-Kyun Lim, Kyo Yun Lee, Sang-Hoon Lee, Woo Sung We, Seungchan Kim, Seung Min Yang, Keonho Lee, In-Keun Kim, Younghyun Jeon, Jae-Hyung Park, Jong Chan Yun, Seonyeol Kim, Dong-Yeol Lee, Su-Hyun Oh, Junghyun Shin, Yeonho Lee 0002, Jieun Jang, Joohwan Cho A 192-Gb 12-High 896-GB/s HBM3 DRAM With a TSV Auto-Calibration Scheme and Machine-Learning-Based Layout Optimization. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Yeonwook Jung, Seongseop Lee, Hyojun Kim, SeongHwan Cho A Supply-Noise-Induced Jitter Canceling Adaptive Filter for LPDDR5 Mobile DRAM. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Yesin Ryu, Sung-Gi Ahn, Jae Hoon Lee, Jaewon Park, Yong-Ki Kim, Hyochang Kim, Yeong Geol Song, Han-Won Cho, Sunghye Cho, Seung Ho Song, Haesuk Lee, Useung Shin, Jonghyun Ahn, Je-Min Ryu, Suk Han Lee, Kyounghwan Lim, Jungyu Lee 0002, Jeong Hoan Park, Jae-Seung Jeong, Sunghwan Jo, Dajung Cho, Sooyoung Kim, Minsu Lee, Hyunho Kim, Minhwan Kim, Jae San Kim, Jinah Kim, Hyun Gil Kang, Myung-Kyu Lee, Sung-Rae Kim, Young-Cheon Kwon, Young-Yong Byun, Kijun Lee, Sangkil Park, Jaeyoun Youn, Myeong-O. Kim, Kyomin Sohn, SangJoon Hwang, JooYoung Lee A 16 GB 1024 GB/s HBM3 DRAM With Source-Synchronized Bus Design and On-Die Error Control Scheme for Enhanced RAS Features. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Hwayong Nam, Seungmin Baek, Minbok Wi, Michael Jaemin Kim, Jaehyun Park 0006, Chihun Song, Nam Sung Kim, Jung Ho Ahn X-ray: Discovering DRAM Internal Structure and Error Characteristics by Issuing Memory Commands. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Chintam Shravan, Kaleem Fatima, P. Chandra Sekhar Design and Development of Novel Refresh Technique for Gain Cell Embedded DRAM. Search on Bibsonomy SN Comput. Sci. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Tareq A. Alawneh, Mutsam M. Jarajreh, Jawdat S. Alkasassbeh, Ahmed A. M. Sharadqh High-Performance and Power-Saving Mechanism for Page Activations Based on Full Independent DRAM Sub-Arrays in Multi-Core Systems. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Jin-Woo Han, Minki Suh, Gyeongyeop Lee, Jungsik Kim Overhang Saddle Fin Sidewall Structure for Highly Reliable DRAM Operation. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Zhengtao Li, Jianxi Chen Eukv: Enabling Efficient Updates for Hybrid PM-DRAM Key-Value Store. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Gyeongyeop Lee, Minki Suh, Minsang Ryu, Yunjong Lee, Jin-Woo Han, Jungsik Kim Investigation Into the Degradation of DDR4 DRAM Owing to Total Ionizing Dose Effects. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Seyoung Kim, Seungho Yang, Hyein Lim, Hyein Lee 0008, Jongwook Jeon, Jung Yun Choi, Jaeha Kim Accurate Layout-Dependent Effect Model in 10 nm-Class DRAM Process Using Area-Efficient Array Test Circuits. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Chang Hyun Kim, Won Jun Lee, Yoonah Paik, Seok Young Kim, Seon Wook Kim BL-PIM: Varying the Burst Length to Realize the All-Bank Performance and Minimize the Multi-Workload Interference for in-DRAM PIM. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Jianjun Luo 0003, Hailuan Liu, Ying He, César Vargas Rosales, Lingyan Fan High-Density NVMe SSD With DRAM-Less eRAID Architecture. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Fujun Bai, Song Wang, Xuerong Jia, Yixin Guo, Bing Yu, Hang Wang, Cong Lai, Qiwei Ren, Hongbin Sun 0001 A Low-Cost Reduced-Latency DRAM Architecture With Dynamic Reconfiguration of Row Decoder. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Joo-Hyung Chae Design of Clocked Comparator Preventing Bit Errors to Improve Reliability of Low-Speed DRAM Measurement. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Binyamin Frankel, Eyal Sarfati, Davide Rossi, Shmuel Wimer Energy Efficiency of Opportunistic Refreshing for Gain-Cell Embedded DRAM. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Tae-Bin Kim, Hyun-Jin Kim, Kee-Won Kwon Fast and Efficient Offset Compensation by Noise-Aware Pre-Charge and Operation of DRAM Bit Line Sense Amplifier. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Jiho Kim, Soonhee Kwon, Jaesang Noh, Dong-Joon Shin Construction of Cyclic Redundancy Check Codes for SDDC Decoding in DRAM Systems. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Seongcheol Kim, Jincheol Sim, Hyunsu Park, Yoonjae Choi, Jonghyuck Choi, Chulwoo Kim A 15-Gb/s Single-Ended NRZ Receiver Using Self-Referenced Technique With 1-Tap Latched DFE for DRAM Interfaces. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Tongyu Song, Xuebin Tan, Jing Ren 0002, Wenyu Hu, Sheng Wang 0006, Shizhong Xu, Xiong Wang 0001, Gang Sun 0001, Hongfang Yu DRAM: A DRL-based resource allocation scheme for MAR in MEC. Search on Bibsonomy Digit. Commun. Networks The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Byoungchan Oh, Nilmini Abeyratne, Nam Sung Kim, Jeongseob Ahn, Ronald G. Dreslinski, Trevor N. Mudge Rethinking DRAM's Page Mode With STT-MRAM. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Haodong Lin, Jun Li 0062, Zhibing Sha, Zhigang Cai, Yuanquan Shi, Balazs Gerofi, Jianwei Liao 0001 Adaptive Management With Request Granularity for DRAM Cache Inside nand-Based SSDs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Xinfeng Xie, Peng Gu, Yufei Ding, Dimin Niu, Hongzhong Zheng, Yuan Xie 0008 MPU: Memory-centric SIMT Processor via In-DRAM Near-bank Computing. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Ataberk Olgun, Juan Gómez-Luna, Konstantinos Kanellopoulos, Behzad Salami 0001, Hasan Hassan, Oguz Ergin, Onur Mutlu PiDRAM: A Holistic End-to-end FPGA-based Framework for Processing-in-DRAM. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Shengzhe Yan, Zhaori Cong, Nianduan Lu, Jinshan Yue, Qing Luo Recent progress in InGaZnO FETs for high-density 2T0C DRAM applications. Search on Bibsonomy Sci. China Inf. Sci. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Michael Shaffer, Mikayla A. Borton, Ben Bolduc, José P. Faria, Rory M. Flynn, Parsa Ghadermazi, Janaka N. Edirisinghe, Elisha M. Wood-Charlson, Christopher S. Miller, Siu Hung Joshua Chan, Matthew B. Sullivan, Christopher S. Henry, Kelly C. Wrighton kb_DRAM: annotation and metabolic profiling of genomes with DRAM in KBase. Search on Bibsonomy Bioinform. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Po-Chen Yeh, Chin-Hsien Wu, Yung-Hsiang Lin, Ming-Yan Wu A Write-Related and Read-Related DRAM Allocation Strategy Inside Solid-State Drives (SSDs). Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Durgesh Addala, Sanjeet Kumar Sinha, Mohan Chandu Gadiparthi, Sweta Chander 1T-DRAM Cell with Different FET Technologies for Low Power Application. Search on Bibsonomy Wirel. Pers. Commun. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Ranyang Zhou, Sabbir Ahmed, Adnan Siraj Rakin, Shaahin Angizi DNN-Defender: An in-DRAM Deep Neural Network Defense Mechanism for Adversarial Weight Attack. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Yuhao Shu, Hongtu Zhang, Hao Sun, Mengru Zhang, Wenfeng Zhao, Qi Deng, Zhidong Tang, Yumeng Yuan, Yongqi Hu, Yu Gu, Xufeng Kou, Yajun Ha Cryogenic quasi-static embedded DRAM for energy-efficient compute-in-memory applications. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Maryam Babaie, Ayaz Akram, Jason Lowe-Power A Cycle-level Unified DRAM Cache Controller Model for 3DXPoint Memory Systems in gem5. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Haocong Luo, Yahya Can Tugrul, F. Nisa Bostanci, Ataberk Olgun, Abdullah Giray Yaglikçi, Onur Mutlu Ramulator 2.0: A Modern, Modular, and Extensible DRAM Simulator. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Maryam Babaie, Ayaz Akram, Jason Lowe-Power Enabling Design Space Exploration of DRAM Caches in Emerging Memory Systems. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Ismail Emir Yuksel, Yahya Can Tugrul, F. Nisa Bostanci, Abdullah Giray Yaglikçi, Ataberk Olgun, Geraldo F. Oliveira, Melina Soysal, Haocong Luo, Juan Gómez-Luna, Mohammad Sadrosadati, Onur Mutlu PULSAR: Simultaneous Many-Row Activation for Reliable and High-Performance Computing in Off-the-Shelf DRAM Chips. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Donghyuk Kim, Jae-Young Kim, Wontak Han, Jongsoon Won, Haerang Choi, Yongkee Kwon, Joo-Young Kim 0001 Darwin: A DRAM-based Multi-level Processing-in-Memory Architecture for Data Analytics. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Ataberk Olgun, Majd Osseiran, Abdullah Giray Yaglikçi, Yahya Can Tugrul, Haocong Luo, Steve Rhyner, Behzad Salami 0001, Juan Gómez-Luna, Onur Mutlu Understanding Read Disturbance in High Bandwidth Memory: An Experimental Analysis of Real HBM2 DRAM Chips. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Ranyang Zhou, Jacqueline Liu, Sabbir Ahmed, Nakul Kochar, Adnan Siraj Rakin, Shaahin Angizi Threshold Breaker: Can Counter-Based RowHammer Prevention Mechanisms Truly Safeguard DRAM? Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Leonid Yavits DRAMA: Commodity DRAM based Content Addressable Memory. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Onur Mutlu Retrospective: An Experimental Study of Data Retention Behavior in Modern DRAM Devices: Implications for Retention Time Profiling Mechanisms. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Haocong Luo, Ataberk Olgun, Abdullah Giray Yaglikçi, Yahya Can Tugrul, Steve Rhyner, Meryem Banu Cavlak, Joël Lindegger, Mohammad Sadrosadati, Onur Mutlu RowPress: Amplifying Read Disturbance in Modern DRAM Chips. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Onur Mutlu Retrospective: Flipping Bits in Memory Without Accessing Them: An Experimental Study of DRAM Disturbance Errors. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Hwayong Nam, Seungmin Baek, Minbok Wi, Michael Jaemin Kim, Jaehyun Park 0006, Chihun Song, Nam Sung Kim, Jung Ho Ahn X-ray: Discovering DRAM Internal Structure and Error Characteristics by Issuing Memory Commands. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Supreeth Mysore Shivanandamurthy, Sairam Sri Vatsavai, Ishan G. Thakkar, Sayed Ahmad Salehi AGNI: In-Situ, Iso-Latency Stochastic-to-Binary Number Conversion for In-DRAM Deep Learning. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Ataberk Olgun, Majd Osseiran, Abdullah Giray Yaglikçi, Yahya Can Tugrul, Haocong Luo, Steve Rhyner, Behzad Salami 0001, Juan Gómez-Luna, Onur Mutlu An Experimental Analysis of RowHammer in HBM2 DRAM Chips. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Junpeng Wang, Mengke Ge, Bo Ding, Qi Xu, Song Chen 0001, Yi Kang NicePIM: Design Space Exploration for Processing-In-Memory DNN Accelerators with 3D-Stacked-DRAM. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Onur Mutlu Retrospective: RAIDR: Retention-Aware Intelligent DRAM Refresh. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Seungki Hong, Dongha Kim, Jaehyung Lee, Reum Oh, Changsik Yoo, Sang Joon Hwang, Jooyoung Lee DSAC: Low-Cost Rowhammer Mitigation Using In-DRAM Stochastic and Approximate Counting Algorithm. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Lukas Steiner, Timo Lehnigk-Emden, Markus Fehrenz, Norbert Wehn A Mapping of Triangular Block Interleavers to DRAM for Optical Satellite Communication. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Fatemeh Najafi, Masoud Kaveh, Mohammad Reza Mosavi, Alessandro Brighente, Mauro Conti EPUF: A Novel Scheme Based on Entropy Features of Latency-based DRAM PUFs Providing Lightweight Authentication in IoT Networks. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Zhibing Sha, Jun Li 0062, Fengxiang Zhang, Min Huang, Zhigang Cai, François Trahay, Jianwei Liao 0001 Visibility Graph-based Cache Management for DRAM Buffer Inside Solid-state Drives. Search on Bibsonomy ACM Trans. Storage The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Owen Millwood, Jack Miskelly, Bohao Yang, Prosanta Gope, Elif Bilge Kavun, Chenghua Lin PUF-Phenotype: A Robust and Noise-Resilient Approach to Aid Group-Based Authentication With DRAM-PUFs Using Machine Learning. Search on Bibsonomy IEEE Trans. Inf. Forensics Secur. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Fan Zhang 0069, Shaahin Angizi, Jiao Sun, Wei Zhang 0076, Deliang Fan Aligner-D: Leveraging In-DRAM Computing to Accelerate DNA Short Read Alignment. Search on Bibsonomy IEEE J. Emerg. Sel. Topics Circuits Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Mingkai Liu, Haohua Que, Xinghua Yang, Kaitong Zhang, Qingyang Yu, Lingxiao Yan, Tong Wang, Yu Jin, Ni Zhou A Selective Bit Dropping and Encoding Co-Strategy in Image Processing for Low-Power Design in DRAM and SRAM. Search on Bibsonomy IEEE J. Emerg. Sel. Topics Circuits Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Julien Maillard, Thomas Hiscock, Maxime Lecomte, Christophe Clavier Cache Side-Channel Attacks Through Electromagnetic Emanations of DRAM Accesses. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2023 DBLP  BibTeX  RDF
13Shamik Kundu, Arnab Raha, Suvadeep Banerjee, Suriyaprakash Natarajan, Kanad Basu Analysis and Mitigation of DRAM Faults in Sparse-DNN Accelerators. Search on Bibsonomy IEEE Des. Test The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Jingyu Wang, Rulin Huang, Kaixin Huang, Yuting Chen A server bypass architecture for hopscotch hashing key-value store on DRAM-NVM memories. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Xiangjun Peng, Zheng Huang, Alex Cantrell, Bihua Shu, Ke Ke Xie, Yi Li, Yu Li, Li Jiang 0009, Qiang Xu, Ming-Chang Yang EXPERT: EXPloiting DRAM ERror Types to Improve the Effective Forecasting Coverage in the Field. Search on Bibsonomy DSN-S The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Ataberk Olgun, Majd Osseiran, Abdullah Giray Yaglikçi, Yahya Can Tugrul, Haocong Luo, Steve Rhyner, Behzad Salami 0001, Juan Gómez-Luna, Onur Mutlu An Experimental Analysis of RowHammer in HBM2 DRAM Chips. Search on Bibsonomy DSN-S The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Qi Chen, Hao Hu, Cai Deng, Dingbang Liu, Shiyi Li, Bo Tang 0016, Ting Yao, Wen Xia EEPH: An Efficient Extendible Perfect Hashing for Hybrid PMem-DRAM. Search on Bibsonomy ICDE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Enas E. Abulibdeh, Leen Younes, Baker Mohammad, Hani H. Saleh, Mahmoud Al-Qutayri, Khaled Humood DRAM Bitline as A Delay Path for Potential PUF. Search on Bibsonomy ICM The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Preeti Ranjan Panda, Shailja Pandey Education Abstract: Thermal Challenges and Mitigation in 3D DRAM. Search on Bibsonomy CODES+ISSS The full citation details ... 2023 DBLP  BibTeX  RDF
13Hyeongseok Oh, Myungsun Chun, Jiwon Lee, Shi-Jie Wen, Nick Yu, Byung-Gun Park, Sanghyeon Baeg Write Recovery Time Degradation by Thermal Neutrons in DDR4 DRAM Components. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Hyewon Seo, Taiuk Rim, Eunsun Lee, Sekyoung Jang, Kyosuk Chae, Jeonghoon Oh, Hyodong Ban, Jooyoung Lee Analysis of Intermittent Single-bit Failure on 10-nm node generation DRAM Devices. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Longda Zhou, Jie Li, Zheng Qiao, Pengpeng Ren, Zixuan Sun, Jianping Wang, Blacksmith Wu, Zhigang Ji, Runsheng Wang, Kanyu Cao, Ru Huang Double-sided Row Hammer Effect in Sub-20 nm DRAM: Physical Mechanism, Key Features and Mitigation. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Taiuk Rim, Kyosuk Che, Sehyun Kwon, Jin-Seong Lee, Jeonghoon Oh, Hyodong Ban, Jooyoung Lee Enhanced DRAM Single Bit Characteristics from Process Control of Chlorine. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13J. H. Lee, B. W. Woo, Y. M. Lee, N. H. Lee, S. H. Lee, Y. S. Lee, H. S. Kim, S. Pae Reliability Improvement with Optimized BEOL Process in Advanced DRAM. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13S. Lee, N.-H. Lee, K. W. Lee, J. H. Kim, J. H. Jin, Y. S. Lee, Y. C. Hwang, H. S. Kim, S. Pae Development and Product Reliability Characterization of Advanced High Speed 14nm DDR5 DRAM with On-die ECC. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Wonju Sung, Hyun Seung Kim, Jung Hoon Han, Seguen Park, Jeonghoon Oh, Hyodong Ban, Jooyoung Lee Investigation on NBTI Control Techniques of HKMG Transistors for Low-power DRAM applications. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Shinwoo Jeong, Jin-Seong Lee, Jiuk Jang, Jooncheol Kim, Hyunsu Shin, Jihun Kim, Jeongwoo Song, Dongsoo Woo, Jeonghoon Oh, Jooyoung Lee Investigation of Sub-20nm 4th generation DRAM cell transistor's parasitic resistance and scalable methodology for Sub-20nm era. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Qiang Liu 0011, Longtao Guo, Honghui Tang Fault Model Analysis of DRAM under Electromagnetic Fault Injection Attack. Search on Bibsonomy DATE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Ranyang Zhou, Sepehr Tabrizchi, Mehrdad Morsali, Arman Roohi, Shaahin Angizi P-PIM: A Parallel Processing-in-DRAM Framework Enabling Row Hammer Protection. Search on Bibsonomy DATE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Hyeong Kon Bae, Myung Jae Chung, Young-Ho Gong, Sung Woo Chung Twin ECC: A Data Duplication Based ECC for Strong DRAM Error Resilience. Search on Bibsonomy DATE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Michael Jaemin Kim, Minbok Wi, Jaehyun Park 0006, Seoyoung Ko, Jaeyoung Choi, Hwayong Nam, Nam Sung Kim, Jung Ho Ahn, Eojin Lee How to Kill the Second Bird with One ECC: The Pursuit of Row Hammer Resilient DRAM. Search on Bibsonomy MICRO The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Jeageun Jung, Mattan Erez Predicting Future-System Reliability with a Component-Level DRAM Fault Model. Search on Bibsonomy MICRO The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Yongwon Shin, Juseong Park, Sungjun Cho, Hyojin Sung PIMFlow: Compiler and Runtime Support for CNN Models on Processing-in-Memory DRAM. Search on Bibsonomy CGO The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Youngin Kim 0003, Hyeonjin Kim, William J. Song NOMAD: Enabling Non-blocking OS-managed DRAM Cache via Tag-Data Decoupling. Search on Bibsonomy HPCA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Minbok Wi, Jaehyun Park 0006, Seoyoung Ko, Michael Jaemin Kim, Nam Sung Kim, Eojin Lee, Jung Ho Ahn SHADOW: Preventing Row Hammer in DRAM with Intra-Subarray Row Shuffling. Search on Bibsonomy HPCA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Majed Valad Beigi, Yi Cao, Sudhanva Gurumurthi, Charles Recchia, Andrew C. Walton, Vilas Sridharan A Systematic Study of DDR4 DRAM Faults in the Field. Search on Bibsonomy HPCA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Xiangjun Peng, Yaohua Wang, Ming-Chang Yang CHOPPER: A Compiler Infrastructure for Programmable Bit-serial SIMD Processing Using Memory in DRAM. Search on Bibsonomy HPCA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Ming-Yan Wu, Chin-Hsien Wu A Multi-Stream-aware DRAM Allocation Strategy inside Solid-State Drives (SSDs). Search on Bibsonomy RACS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Maryam Babaie, Ayaz Akram, Jason Lowe-Power Enabling Design Space Exploration of DRAM Caches for Emerging Memory Systems. Search on Bibsonomy ISPASS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Tao Huang, Run Run, Yi Hu, Li Yin, Liyang Pan, Guolin Li, Xiang Xie An Energy-Efficient Gain-Cell Embedded DRAM Design with Weight Encoding for CNN Applications. Search on Bibsonomy ICTA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Frank Schirrmeister, Rocco Jonack, Michael Frank 0008 Addressing DRAM Performance Analysis Challenges for Network-on-Chip (NoC) Design. Search on Bibsonomy MEMSYS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Steffen Buch Error Detecting and Correcting Codes for DRAM Functional Safety. Search on Bibsonomy MEMSYS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Ayaz Akram The Feasibility of Utilizing Low-Power DRAM in Disaggregated Systems. Search on Bibsonomy MEMSYS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Yuansheng Zhao, Zixuan Shen, Jiarui Xu, Kevin C. T. Chai, Yanqing Wu, Chao Wang 0096 A Novel Transpose 2T-DRAM based Computing-in-Memory Architecture for On-chip DNN Training and Inference. Search on Bibsonomy AICAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Owen Millwood, Meltem Kurt Pehlivanoglu, Aryan Mohammadi Pasikhani, Jack Miskelly, Prosanta Gope, Elif Bilge Kavun A Generic Obfuscation Framework for Preventing ML-Attacks on Strong-PUFs through Exploitation of DRAM-PUFs. Search on Bibsonomy EuroS&P The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Kevin Loughlin, Jonah Rosenblum, Stefan Saroiu, Alec Wolman, Dimitrios Skarlatos 0002, Baris Kasikci Siloz: Leveraging DRAM Isolation Domains to Prevent Inter-VM Rowhammer. Search on Bibsonomy SOSP The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Song Wang, Bing Yu, Wenwu Xiao, Fujun Bai, Xiaodong Long, Liang Bai, Xuerong Jia, Fengguo Zuo, Jie Tan, Yixin Guo, Peng Sun, Jun Zhou, Qiong Zhan, Sheng Hu, Yu Zhou, Yi Kang, Qiwei Ren, Xiping Jiang A 135 GBps/Gbit 0.66 pJ/bit Stacked Embedded DRAM with Multilayer Arrays by Fine Pitch Hybrid Bonding and Mini-TSV. Search on Bibsonomy VLSI Technology and Circuits The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Yuki Okamoto, Yusuke Komura, T. Mizuguchi, Toshihiko Saito, M. Ito, K. Kimura, Tatsuya Onuki, Yoshinori Ando, H. Sawai, T. Murakawa, Hitoshi Kunitake, Takanori Matsuzaki, H. Kimura, M. Fujita, Makoto Ikeda, Shunpei Yamazaki 1Mbit 1T1C 3D DRAM with Monolithically Stacked One Planar FET and Two Vertical FET Heterogeneous Oxide Semiconductor layers over Si CMOS. Search on Bibsonomy VLSI Technology and Circuits The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Seongho Kim, Young-Keun Park, Gyu Soup Lee, Eui Joong Shin, Woon-San Ko, Hi Deok Lee, Ga-Won Lee 0001, Byung Jin Cho Epitaxial Strain Control of HfxZr1-xO2 with Sub-nm IGZO Seed Layer Achieving EOT=0.44 nm for DRAM Cell Capacitor. Search on Bibsonomy VLSI Technology and Circuits The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Attilio Belmonte, S. Kundu, S. Subhechha, Adrian Vaisman Chasin, Nouredine Rassoul, Harold Dekkers, H. Puliyalil, F. Seidel, P. Carolan, Romain Delhougne, Gouri Sankar Kar Lowest IOFF < 3×10-21 A/μm in capacitorless DRAM achieved by Reactive Ion Etch of IGZO-TFT. Search on Bibsonomy VLSI Technology and Circuits The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Kanguk Kim, Youngwoo Son, Hoin Ryu, Byunghyun Lee, Jooncheol Kim, Hyunsu Shin, Joonyoung Kang, Jihun Kim, Shinwoo Jeong, Kyosuk Chae, Dongkak Lee, Ilwoo Jung, Yongkwan Kim, Boyoung Song, Jeonghoon Oh, Jungwoo Song, Seguen Park, Keumjoo Lee, Hyodong Ban, Jiyoung Kim, Jooyoung Lee 14nm DRAM Development and Manufacturing. Search on Bibsonomy VLSI Technology and Circuits The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Jaehoon Heo, Yongwon Shin, Sangjin Choi, Sungwoong Yune, Jung-Hoon Kim, Hyojin Sung, Youngjin Kwon, Joo-Young Kim 0001 PRIMO: A Full-Stack Processing-in-DRAM Emulation Framework for Machine Learning Workloads. Search on Bibsonomy ICCAD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Daohuan Feng, Yi Jiang, Yunsong Qiu, Yuhong Zheng, Harry Kim, Jaewoo Kim, Jian Chu, Guangsu Shao, Yucheng Liao, Chen Yang, Minrui Hu, Wenli Zhao, Linjiang Xia, Jianfeng Xiao, Di Ma, Yuan Cheng, Xiangbo Kong, Chao Lin, Tianming Li, Yongjie Li 0002, Jingheng Meng, Kai Shao, Yan Wang, Xiaoan Yang, Xiang Liu, Qinghua Han, Huiming Li, Yanzhe Tang, Mingde Liu, Eric Wu, Xiaoping Li, Renrui Huang, Mingtang Zhang, Long Hou, Xuan Pan, Xinwen Jin, Shuiping Zhao, Dh Han, Ted Park, Deyuan Xiao, Chao Zhao, Abraham Yoo Vertical Channel Transistor (VCT) as Access Transistor for Future 4F2 DRAM Architecture. Search on Bibsonomy IMW The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Z. Asher Bai, Yixian Wang, Lixue Liu, Xi Zhang, Feng Yuan, Junsheng Meng, Zhongming Liu, Js Jeon, James Cho, Blacksmith Wu, Huihui Li, Guilei Wang, Chao Zhao, Kanyu Cao Dielectric Relaxation Performance of DRAM Storage Capacitors and Ways of Improvement. Search on Bibsonomy IMW The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Wei-Chen Chen, Hang-Ting Lue, Tzu-Hsuan Hsu, Keh-Chung Wang, Chih-Yuan Lu A Simulation Study of Scaling Capability toward 10nm for the 3D Stackable Gate-Controlled Thyristor (GCT) DRAM Device. Search on Bibsonomy IMW The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 2246 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license