|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 4167 occurrences of 2110 keywords
|
|
|
Results
Found 14725 publication records. Showing 14699 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
29 | Bjorn De Sutter, Hans Vandierendonck, Bruno De Bus, Koenraad De Bosschere |
On the side-effects of code abstraction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCTES ![In: Proceedings of the 2003 Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'03). San Diego, California, USA, June 11-13, 2003, pp. 244-253, 2003, ACM, 1-58113-647-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
code abstraction, performance, code compaction |
29 | Bjorn De Sutter, Bruno De Bus, Koenraad De Bosschere |
Sifting out the mud: low level C++ code reuse. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OOPSLA ![In: Proceedings of the 2002 ACM SIGPLAN Conference on Object-Oriented Programming Systems, Languages and Applications, OOPSLA 2002, Seattle, Washington, USA, November 4-8, 2002., pp. 275-291, 2002, ACM, 1-58113-471-1. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
code size reduction, code compaction |
29 | Libor Bus, Pavel Tvrdík |
Distributed Memory Auction Algorithms for the Linear Assignment Problem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IASTED PDCS ![In: International Conference on Parallel and Distributed Computing Systems, PDCS 2002, November 4-6, 2002, Cambridge, USA, pp. 137-142, 2002, IASTED/ACTA Press, 0-88986-366-0. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP BibTeX RDF |
|
29 | Hinderk M. Buß |
Finite element methods for variational problems based on nonconforming dual mixed discretisations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Num. Math. ![In: J. Num. Math. 9(2), pp. 77-98, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
29 | Bjorn De Sutter, Bruno De Bus, Koenraad De Bosschere, Saumya K. Debray |
Combining Global Code and Data Compaction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCTES/OM ![In: Proceedings of The Workshop on Languages, Compilers, and Tools for Embedded Systems (LCTES 2001), June 22-23, 2001 / The Workshop on Optimization of Middleware and Distributed Systems (OM 2001), June 18, 2001, Snowbird, Utah, USA, pp. 29-38, 2001, ACM, 1-58113-425-8. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
29 | Libor Bus, Pavel Tvrdík |
A Parallel Algorithm for Connected Components on Distributed Memory Machines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PVM/MPI ![In: Recent Advances in Parallel Virtual Machine and Message Passing Interface, 8th European PVM/MPI Users' Group Meeting, Santorini/Thera, Greece, September 23-26, 2001, Proceedings, pp. 280-287, 2001, Springer, 3-540-42609-4. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
29 | Bjorn De Sutter, Bruno De Bus, Koen De Bosschere, Saumya Debray |
Combining Global Code and Data Compaction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OM@PLDI ![In: Proceedings of the 2001 ACM SIGPLAN Workshop on Optimization of Middleware and Distributed Systems, OM '01, Snow Bird, Utah, USA, pp. 29-38, 2001, ACM. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
29 | Bjorn De Sutter, Bruno De Bus, Koenraad De Bosschere, P. Keyngnaert, Bart Demoen |
On the Static Analysis of Indirect Control Transfers in Binaries. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDPTA ![In: Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, PDPTA 2000, June 24-29, 2000, Las Vegas, Nevada, USA, 2000, CSREA Press. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP BibTeX RDF |
|
29 | Roland Buß, Lothar Mühlbach, Detlef Runde |
Advantages and disadvantages of a 3D virtual environment for supporting informal communication in distributed workgroups compared with a text-chat and a chat/cam system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HCI (2) ![In: Human-Computer Interaction: Communication, Cooperation, and Application Design, Proceedings of HCI International '99 (the 8th International Conference on Human-Computer Interaction), Munich, Germany, August 22-26, 1999, Volume 2, pp. 512-516, 1999, Lawrence Erlbaum, 0-8058-3392-7. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP BibTeX RDF |
|
29 | J. C. P. Bus, T. J. Dekker |
Two Efficient Algorithms with Guaranteed Convergence for Finding a Zero of a Function. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Math. Softw. ![In: ACM Trans. Math. Softw. 1(4), pp. 330-345, 1975. The full citation details ...](Pics/full.jpeg) |
1975 |
DBLP DOI BibTeX RDF |
|
27 | Madhu Mutyam |
Selective shielding technique to eliminate crosstalk transitions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 14(3), pp. 43:1-43:20, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
power consumption, Crosstalk, switching activity, bus encoding |
27 | Taufik, Makbul Anwari |
Modeling and Simulation of Current Ripple in DC Link Connecting Two PWM Inverters Using Matlab/Simulink. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asia International Conference on Modelling and Simulation ![In: Second Asia International Conference on Modelling and Simulation, AMS 2008, Kuala Lumpur, Malaysia, May 13-15, 2008, pp. 1029-1033, 2008, IEEE Computer Society, 978-0-7695-3136-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
DC bus Current Ripple, PWM Inverter |
27 | Márcio Oyamada, Flávio Rech Wagner, Marius Bonaciu, Wander O. Cesário, Ahmed Amine Jerraya |
Software Performance Estimation in MPSoC Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 12th Conference on Asia South Pacific Design Automation, ASP-DAC 2007, Yokohama, Japan, January 23-26, 2007, pp. 38-43, 2007, IEEE Computer Society, 1-4244-0629-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
cycle-accurate simulation model, software performance estimation, MPSoC design, software-dominated embedded systems, integrated methodology, bus-functional model, multiprocessor platform, MPEG4 encoder, neural networks, performance analysis, design space exploration, design validation |
27 | Wenqian Huang, Liping Chen, Zhijun Meng, Chunjiang Zhao 0001 |
Design of Can-based Variable Rate Fertilizer Control System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CCTA ![In: Computer And Computing Technologies In Agriculture, Volume II, First IFIP TC 12 International Conference on Computer and Computing Technologies in Agriculture (CCTA 2007), Wuyishan, China, August 18-20, 2007, pp. 1317-1320, 2007, Springer, 978-0-387-77252-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
variable rate fertilizer, DSP, PID control, Precision Agriculture, CAN bus |
27 | Brett H. Meyer, Donald E. Thomas |
Simultaneous synthesis of buses, data mapping and memory allocation for MPSoC. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CODES+ISSS ![In: Proceedings of the 5th International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2007, Salzburg, Austria, September 30 - October 3, 2007, pp. 3-8, 2007, ACM, 978-1-59593-824-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
bus architecture synthesis, embedded multiprocessor systems-on-chip, partitioning, sharing, memory allocation, data mapping |
27 | Zili Shao, Bin Xiao 0001, Chun Xue, Qingfeng Zhuge, Edwin Hsing-Mean Sha |
Loop scheduling with timing and switching-activity minimization for VLIW DSP. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 11(1), pp. 165-185, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
instruction bus optimization, low-power optimization, compilers, software pipelining, VLIW, retiming, instruction scheduling, loops |
27 | Ming Zhang 0026, Qing Yang 0001 |
BUCS - A Bottom-Up Cache Structure for Networked Storage Servers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: 33rd International Conference on Parallel Processing (ICPP 2004), 15-18 August 2004, Montreal, Quebec, Canada, pp. 310-317, 2004, IEEE Computer Society, 0-7695-2197-5. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
cache structure, intelligent controller, networked storage, bus contention |
27 | Luca Benini, Angelo Galati, Alberto Macii, Enrico Macii, Massimo Poncino |
Energy-efficient data scrambling on memory-processor interfaces. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003, Seoul, Korea, August 25-27, 2003, pp. 26-29, 2003, ACM, 1-58113-682-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
data scrambling, bus encoding, power attacks |
27 | Jörg Kaiser, Michael Mock |
Implementing the Real-Time Publisher/Subscriber Model on the Controller Area Network (CAN). ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISORC ![In: 2nd International Symposium on Object-Oriented Real-Time Distributed Computing (ISORC '99), May 2-5, 1999, Saint Malo, France, pp. 172-181, 1999, IEEE Computer Society, 0-7695-0207-5. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
Real-Time Communication Systems, Publisher/Subsrciber Model, Tag-based addressing, CAN-Bus |
27 | Jin-Hua Hong, Chung-Hung Tsai, Cheng-Wen Wu |
Hierarchical Testing Using the IEEE Std 1149.5 Module Test and Maintenance Slave Interface Module. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 5th Asian Test Symposium (ATS '96), November 20-22, 1996, Hsinchu, Taiwan, pp. 50-55, 1996, IEEE Computer Society, 0-8186-7478-4. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
MTM Bus, Boundary Scan, Hierarchical Testing |
27 | Duc J. Vianney, James H. Thomas, Vicki Rabaza |
The Gould NP1 system interconnecting. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 2nd international conference on Supercomputing, ICS 1988, Saint Malo, France, July 4-8, 1988, pp. 170-178, 1988, ACM, 0-89791-272-1. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
Gould NP1, dual-cpu, processor farm, inter-system bus link, multiprocessor |
27 | Jean-Philippe Lombardi, Jürgen Vogel 0001 |
Wizard-based process modeling for business users. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AVI ![In: Proceedings of the International Conference on Advanced Visual Interfaces, AVI 2010, Roma, Italy, May 26-28, 2010, pp. 406, 2010, ACM Press, 978-1-4503-0076-6. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
27 | Qin Li 0002, Huibiao Zhu, Jifeng He 0001 |
Towards the Service Composition Through Buses. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HASE ![In: 11th IEEE High Assurance Systems Engineering Symposium, HASE 2008, Nanjing, China, December 3 - 5, 2008, pp. 441-444, 2008, IEEE Computer Society, 978-0-7695-3482-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
27 | Po-Tsang Huang, Wei-Li Fang, Yin-Ling Wang, Wei Hwang |
Low Power and Reliable Interconnection with Self-Corrected Green Coding Scheme for Network-on-Chip. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NOCS ![In: Second International Symposium on Networks-on-Chips, NOCS 2008, 5-6 April 2008, Newcastle University, UK. Proceedings, pp. 77-83, 2008, IEEE Computer Society, 978-0-7695-3098-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
interconnnection, reliability, low power, network-on-chip |
27 | Mehdi Dehbashi, Vahid Lari, Seyed Ghassem Miremadi, Mohammad Shokrollah-Shirazi |
Fault Effects in FlexRay-Based Networks with Hybrid Topology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ARES ![In: Proceedings of the The Third International Conference on Availability, Reliability and Security, ARES 2008, March 4-7, 2008, Technical University of Catalonia, Barcelona , Spain, pp. 491-496, 2008, IEEE Computer Society, 978-0-7695-3102-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
FlexRay Protocol, Fault Injection, Error Propagation, Distributed Embedded Systems, Dependability Evaluation |
27 | Michel Sede, Xu Li 0009, Da Li, Min-You Wu, Minglu Li 0001, Wei Shu |
Routing in Large-Scale Buses Ad Hoc Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WCNC ![In: WCNC 2008, IEEE Wireless Communications & Networking Conference, March 31 2008 - April 3 2008, Las Vegas, Nevada, USA, Conference Proceedings, pp. 2711-2716, 2008, IEEE, 978-1-4244-1997-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
27 | Krishnan Sundaresan, Nihar R. Mahapatra |
An Analysis of Timing Violations Due to Spatially Distributed Thermal Effects in Global Wires. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 44th Design Automation Conference, DAC 2007, San Diego, CA, USA, June 4-8, 2007, pp. 515-520, 2007, IEEE. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
27 | Brock J. LaMeres, Kanupriya Gulati, Sunil P. Khatri |
Controlling inductive cross-talk and power in off-chip buses using CODECs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, Yokohama, Japan, January 24-27, 2006, pp. 850-855, 2006, IEEE, 0-7803-9451-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
27 | Vasily V. Balashov, Valery A. Kostenko, Ruslan L. Smeliansky, S. V. Vavinov |
A tool system for automatic scheduling of data exchange in real-time distributed embedded systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCN ![In: Proceedings of the International Symposium on Computer Networks, ISCN 2006, June 16-18, 2006, Istanbul, Turkey, pp. 179-184, 2006, IEEE. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
27 | Maged Ghoneima, Yehea I. Ismail, Muhammad M. Khellah, Vivek De |
Reducing the data switching activity of serialized datastreams. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2006), 21-24 May 2006, Island of Kos, Greece, 2006, IEEE, 0-7803-9389-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
27 | Maged Ghoneima, Yehea I. Ismail, Muhammad M. Khellah, Vivek De |
Reducing the Data Switching Activity on Serial Link Buses. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 7th International Symposium on Quality of Electronic Design (ISQED 2006), 27-29 March 2006, San Jose, CA, USA, pp. 425-432, 2006, IEEE Computer Society, 0-7695-2523-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
27 | Thomas D. Richardson, Chrysostomos Nicopoulos, Dongkook Park, Narayanan Vijaykrishnan, Yuan Xie 0001, Chita R. Das, Vijay Degalahal |
A Hybrid SoC Interconnect with Dynamic TDMA-Based Transaction-Less Buses and On-Chip Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 19th International Conference on VLSI Design (VLSI Design 2006), 3-7 January 2006, Hyderabad, India, pp. 657-664, 2006, IEEE Computer Society, 0-7695-2502-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
27 | Youtao Zhang, Lan Gao, Jun Yang 0002, Xiangyu Zhang 0001, Rajiv Gupta 0001 |
SENSS: Security Enhancement to Symmetric Shared Memory Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 11th International Conference on High-Performance Computer Architecture (HPCA-11 2005), 12-16 February 2005, San Francisco, CA, USA, pp. 352-362, 2005, IEEE Computer Society, 0-7695-2275-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
27 | Tung-Chieh Chen, Yao-Wen Chang |
Modern floorplanning based on fast simulated annealing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2005 International Symposium on Physical Design, ISPD 2005, San Francisco, California, USA, April 3-6, 2005, pp. 104-112, 2005, ACM, 1-59593-021-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
simulated annealing, floorplanning |
27 | Eun-Gu Jung, Eonpyo Hong, Kyoung-Son Jhang, Jeong-A Lee, Dong-Soo Har |
Self-timed Interconnect with Layered Interface Based on Distributed and Modularized Control for Multimedia SoCs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PCM (1) ![In: Advances in Multimedia Information Processing - PCM 2005, 6th Pacific-Rim Conference on Multimedia, Jeju Island, Korea, November 13-16, 2005, Proceedings, Part I, pp. 500-511, 2005, Springer, 3-540-30027-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
27 | Maged Ghoneima, Yehea I. Ismail |
Utilizing the effect of relative delay on energy dissipation in low-power on-chip buses. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 12(12), pp. 1348-1359, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
27 | Yazdan Aghaghiri, Farzan Fallah, Massoud Pedram |
Transition reduction in memory buses using sector-based encoding techniques. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 23(8), pp. 1164-1174, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
27 | Jayapreetha Natesan, Damu Radhakrishnan |
Shift Invert Coding (SINV) for Low Power VLSI. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSD ![In: 2004 Euromicro Symposium on Digital Systems Design (DSD 2004), Architectures, Methods and Tools, 31 August - 3 September 2004, Rennes, France, pp. 190-194, 2004, IEEE Computer Society, 0-7695-2203-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
27 | Byung-Soo Choi, Jeong-A Lee, Dong-Soo Har |
High Performance Microprocessor Design Methods Exploiting Information Locality and Data Redundancy for Lower Area Cost and Power Consumption. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asia-Pacific Computer Systems Architecture Conference ![In: Advances in Computer Systems Architecture, 9th Asia-Pacific Conference, ACSAC 2004, Beijing, China, September 7-9, 2004, Proceedings, pp. 170-184, 2004, Springer, 3-540-23003-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
27 | Maged Ghoneima, Yehea I. Ismail |
Formal derivation of optimal active shielding for low-power on-chip buses. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2004 International Conference on Computer-Aided Design, ICCAD 2004, San Jose, CA, USA, November 7-11, 2004, pp. 800-807, 2004, IEEE Computer Society / ACM, 0-7803-8702-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
27 | Madhu Mutyam |
Preventing Crosstalk Delay using Fibonacci Representation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 17th International Conference on VLSI Design (VLSI Design 2004), with the 3rd International Conference on Embedded Systems Design, 5-9 January 2004, Mumbai, India, pp. 685-688, 2004, IEEE Computer Society, 0-7695-2072-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
27 | Sandeep Gupta, M. M. Gore |
BUSTRAP - An Efficient Travel Planner for Metropolitans. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWDC ![In: Distributed Computing - IWDC 2004, 6th International Workshop, Kolkata, India, December 27-30, 2004, Proceedings, pp. 537, 2004, Springer, 3-540-24076-4. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
27 | Yi Pan 0001 |
Computing on the Restricted LARPBS Model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPA ![In: Parallel and Distributed Processing and Applications, International Symposium, ISPA 2003, Aizu, Japan, July 2-4, 2003, Proceedings, pp. 9-13, 2003, Springer, 3-540-40523-2. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
27 | Tiehan Lv, Wayne H. Wolf, Jörg Henkel, Haris Lekatsas |
An Adaptive Dictionary Encoding Scheme for SOC Data Buses. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2002 Design, Automation and Test in Europe Conference and Exposition (DATE 2002), 4-8 March 2002, Paris, France, pp. 1059-1064, 2002, IEEE Computer Society, 0-7695-1471-5. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
27 | Junji Ogawa, Mark Horowitz |
A 64Mbit Mesochronous Hybrid Wave Pipelined Multibank DRAM Macro. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Intelligent Memory Systems ![In: Intelligent Memory Systems, Second International Workshop, IMS 2000, Cambridge, MA, USA, November 12, 2000, Revised Papers, pp. 1-14, 2000, Springer, 3-540-42328-1. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
27 | Henrik Lönn, Jakob Axelsson |
A comparison of fixed-priority and static cyclic scheduling for distributed automotive control applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECRTS ![In: 11th Euromicro Conference on Real-Time Systems (ECRTS 1999), 9-11 June 1999, York, England, UK, Proceedings, pp. 142-149, 1999, IEEE Computer Society, 0-7695-0240-7. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
27 | Julio Sahuquillo, Ana Pont |
The Filter Cache: A Run-Time Cache Management Approach1. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUROMICRO ![In: 25th EUROMICRO '99 Conference, Informatics: Theory and Practice for the New Millenium, 8-10 September 1999, Milan, Italy, pp. 1424-1431, 1999, IEEE Computer Society, 0-7695-0321-7. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
multi-lateral cache, data cache management, multiprocessor systems, memory architectures, data locality |
27 | Jong Hyuk Choi, Bong Wan Kim, Kyu Ho Park, Kwang-Il Park |
A Bandwidth-Efficient Implementation of Mesh with Multiple Broadcasting. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: Proceedings of the International Conference on Parallel Processing 1999, ICPP 1999, Wakamatsu, Japan, September 21-24, 1999, pp. 434-443, 1999, IEEE Computer Society, 0-7695-0350-0. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
Mesh with Buses, Parallel Computing, Multicast, Interconnection Network, Broadcast, Collective Communication |
27 | Ching-Chih Han, Kang G. Shin |
Real-time communication in FieldBus multiaccess networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Real Time Technology and Applications Symposium ![In: 1st IEEE Real-Time Technology and Applications Symposium, Chicago, Illinois, USA, May 15-17, 1995, pp. 86-95, 1995, IEEE Computer Society, 0-8186-6980-2. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
field buses, FieldBus multiaccess networks, automated factories, absolute deadline guarantees, multiaccess local area networks, SP-50 FieldBus, industrial standard protocol, manufacturing applications, token-passing networks, centralized scheduling multiaccess network, centralized token scheduling, pinwheel, distance-constrained scheduling, centralized-scheduling multiaccess networks, message deadlines, scheduling, real-time systems, protocols, local area networks, timing constraints, real-time communication, random access, embedded real-time systems, computer integrated manufacturing, token networks, multi-access systems, CSMA/CD, industrial process controls, multiaccess networks |
27 | James A. Davis |
A functional language architecture that supports fine-grain parallelism (extended abstract). ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Conference on Computer Science ![In: Proceedings of the 15th ACM Annual Conference on Computer Science, St. Louis, Missouri, USA, February 16-19, 1987, pp. 384, 1987, ACM, 0-89791-218-7. The full citation details ...](Pics/full.jpeg) |
1987 |
DBLP DOI BibTeX RDF |
|
27 | Mohamed G. Gouda, Umeshwar Dayal |
Optimal Semijoin Schedules For Query Processing in Local Distributed Database Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMOD Conference ![In: Proceedings of the 1981 ACM SIGMOD International Conference on Management of Data, Ann Arbor, Michigan, USA, April 29 - May 1, 1981, pp. 164-175, 1981, ACM Press, 978-0-89791-040-8. The full citation details ...](Pics/full.jpeg) |
1981 |
DBLP DOI BibTeX RDF |
|
27 | Hoo-Min D. Toong, Svein O. Strommen, Earl R. Goodrich II |
A Gemeral Multi-Microprocessor Interconnection Mechanism for Non-Numeric Processing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computer Architecture for Non-Numeric Processing ![In: The Papers of the Fifth Workshop on Computer Architecture for Non-Numeric Processing, Pacific Grove, CA, USA, March 11-14, 1980, pp. 115-123, 1980, ACM, 0-89791-025-7. The full citation details ...](Pics/full.jpeg) |
1980 |
DBLP DOI BibTeX RDF |
|
26 | Jin-Tai Yan, Zhi-Wei Chen |
Two-sided single-detour untangling for bus routing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 47th Design Automation Conference, DAC 2010, Anaheim, California, USA, July 13-18, 2010, pp. 206-211, 2010, ACM, 978-1-4503-0002-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
board-level routing, bus routing |
26 | Xueqiang Mi, Xinhuai Tang, Xiaozhou Yuan, Delai Chen, Xiangfeng Luo |
Multifactor-Driven Hierarchical Routing on Enterprise Service Bus. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WISM ![In: Web Information Systems and Mining, International Conference, WISM 2009, Shanghai, China, November 7-8, 2009. Proceedings, pp. 328-336, 2009, Springer, 978-3-642-05249-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Message Routing, Enterprise Integration, Enterprise Service Bus |
26 | Ray Bittner |
Bus mastering PCI express in an FPGA. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPGA ![In: Proceedings of the ACM/SIGDA 17th International Symposium on Field Programmable Gate Arrays, FPGA 2009, Monterey, California, USA, February 22-24, 2009, pp. 273-276, 2009, ACM, 978-1-60558-410-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
bus mastering, pcie, performance, fpga, design, pci express |
26 | Janusz Sosnowski, Dawid Trawczynski, Janusz Zalewski |
Safety Issues in Modern Bus Standards. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computer ![In: Computer 41(1), pp. 97-99, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
bus standards, standards, safety-critical applications |
26 | Ricky E. Sward, Kelly J. Whitacre |
A multi-language service-oriented architecture using an enterprise service bus. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGAda ![In: Proceedings of the 2008 Annual ACM SIGAda International Conference on Ada, Portland, OR, USA, October 26-30, 2008, pp. 85-90, 2008, ACM, 978-1-60558-274-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
multi-language development, service-oriented architecture, soa, software architecture, enterprise service bus, esb |
26 | Michael N. Skoufis, Kedar Karmarkar, Themistoklis Haniotakis, Spyros Tragoudas |
A High-Performance Bus Architecture for Strongly Coupled Interconnects. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 9th International Symposium on Quality of Electronic Design (ISQED 2008), 17-19 March 2008, San Jose, CA, USA, pp. 407-410, 2008, IEEE Computer Society, 978-0-7695-3117-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
high-speed bus, crosstalk |
26 | Sixto Ortiz Jr. |
Getting on Board the Enterprise Service Bus. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computer ![In: Computer 40(4), pp. 15-17, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
service-oriented architectures, Enterprise service bus |
26 | Kin Choong Yow 0001, Lin Chen, Xiaoyu Liu |
BlueBus: a scalable solution for localized mobile service in a public bus. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Mobility Conference ![In: Proceedings of the 4th International Conference on Mobile Technology, Applications, and Systems and the 1st International Symposium on Computer Human Interaction in Mobile Technology, Mobility Conference 2007, Singapore, September 10-12, 2007, pp. 712-715, 2007, ACM, 978-1-59593-819-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
public bus, mobile device, Bluetooth, data service |
26 | Arvind Ashok, Christian M. Beck, Nick Quagliara |
Ri-Ri: assisting bus conductors in madras (chennai). ![Search on Bibsonomy](Pics/bibsonomy.png) |
CHI Extended Abstracts ![In: Extended Abstracts Proceedings of the 2007 Conference on Human Factors in Computing Systems, CHI 2007, San Jose, California, USA, April 28 - May 3, 2007, pp. 2031-2036, 2007, ACM, 978-1-59593-642-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
CHI 2007, Madras, transportation, bus, MTC |
26 | Dariusz Koscielnik |
The Structure of a Control Network Integrated with the S-bus of an ISDN Network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICN ![In: Sixth International Conference on Networking (ICN 2007), 22-28 April 2007, Sainte-Luce, Martinique, France, pp. 67, 2007, IEEE Computer Society, 978-0-7695-2805-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
field network, controll network, S-bus, system integration |
26 | Muhammet Mustafa Ozdal, Martin D. F. Wong |
Two-layer bus routing for high-speed printed circuit boards. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 11(1), pp. 213-227, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
min-max length constraints, High-speed, bus routing, PCB |
26 | Jin Guo 0001, Antonis Papanikolaou, Pol Marchal, Francky Catthoor |
Energy/area/delay trade-offs in the physical design of on-chip segmented bus architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SLIP ![In: The Eigth International Workshop on System-Level Interconnect Prediction (SLIP 2006), Munich, Germany, March 4-5, 2006, Proceedings, pp. 75-81, 2006, ACM, 1-59593-255-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
segmented bus, floorplanning, trade-offs |
26 | Yuh-Rau Wang, Shi-Jinn Horng, Chin-Hsiung Wu |
Efficient Algorithms for the All Nearest Neighbor and Closest Pair Problems on the Linear Array with a Reconfigurable Pipelined Bus System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 16(3), pp. 193-206, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
LARPBS, reconfigurable bus model, Parallel algorithm, closest pair, all nearest neighbors |
26 | Cristian Grecu, Partha Pratim Pande, André Ivanov, Res Saleh |
Structured interconnect architecture: a solution for the non-scalability of bus-based SoCs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 14th ACM Great Lakes Symposium on VLSI 2004, Boston, MA, USA, April 26-28, 2004, pp. 192-195, 2004, ACM, 1-58113-853-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
BFT, scalability, pipelining, bus, MP-SoC |
26 | Alberto Bocca, Sabino Salerno, Enrico Macii, Massimo Poncino |
Energy-efficient bus encoding for LCD displays. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 14th ACM Great Lakes Symposium on VLSI 2004, Boston, MA, USA, April 26-28, 2004, pp. 240-243, 2004, ACM, 1-58113-853-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
LCD displays, digital display interfaces, low-power bus encoding |
26 | Marc Bertola, Guy Bois |
A methodology for the design of AHB bus master wrappers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSD ![In: 2003 Euromicro Symposium on Digital Systems Design (DSD 2003), Architectures, Methods and Tools, 3-5 September 2003, Belek-Antalya, Turkey, pp. 90-97, 2003, IEEE Computer Society, 0-7695-2003-0. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
AMBA AHB, slave, methodology, Wrapper, master, bus protocol |
26 | Amitava Datta |
Efficient Graph-Theoretic Algorithms on a Linear Array with a Reconfigurable Pipelined Bus System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 23(2), pp. 193-211, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
reconfigurable pipelined bus, minimum spanning forest, parallel algorithm, graph algorithms, connected components, optical computing, biconnected components |
26 | Marcellin Buisson, Alexandre Bustico, Stéphane Chatty, François-Régis Colin, Yannick Jestin, Sébastien Maury, Christophe P. Mertz, Philippe Truillet |
Ivy: un bus logiciel au service du développement de prototypes de systèmes interactifs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IHM ![In: Proceedings of the 14th French-speaking conference on Human-computer interaction, Conference Francophone sur l'Interaction Homme-Machine, IHM 2002, Poitiers, France, November 26-29, 2002, pp. 223-226, 2002, ACM, 1-58113-615-3. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
interactive systems engineering, prototyping tools, software bus |
26 | Amal de Silva |
Combining Constraint Programming and Linear Programming on an Example of Bus Driver Scheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Ann. Oper. Res. ![In: Ann. Oper. Res. 108(1-4), pp. 277-291, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
bus driver scheduling, constraint programming, column generation |
26 | Keqin Li, Victor Y. Pan |
Parallel Matrix Multiplication on a Linear Array with a Reconfigurable Pipelined Bus System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 50(5), pp. 519-525, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
Bilinear algorithm, optical pipelined bus, distributed memory system, matrix multiplication, speedup, PRAM, reconfigurable system, linear array, cost-optimality |
26 | Chauchin Su, Yue-Tsang Chen, Shyh-Jye Jou |
Intrinsic response for analog module testing using an analog testability bus. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 6(2), pp. 226-243, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
analog testability bus, intrinsic response, design for testability, analog testing, boundary scan |
26 | Keqin Li 0001, Yi Pan 0001, Si-Qing Zheng |
Efficient Deterministic and Probabilistic Simulations of PRAMs on Linear Arrays with Reconfigurable Pipelined Bus Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 15(2), pp. 163-181, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
Concurrent read, concurrent write, deterministic simulation, probabilistic simulation, sorting, shared memory, time complexity, parallel random access machine, linear array, optical bus |
26 | Bharadwaj Veeravalli, Xiaolin Li 0001, Chi Chung Ko |
On the Influence of Start-Up Costs in Scheduling Divisible Loads on Bus Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 11(12), pp. 1288-1305, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
optimal sequence, bus networks, communication delay, Divisible loads, processing time |
26 | Zhou Qiang, Luo Zhiqiang, Huagang Xiong, Li Qiao |
Real-Time Performance Analysis for Linear Token Passing Bus Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCN ![In: Proceedings 27th Conference on Local Computer Networks, Tampa, Florida, USA, 8-10 November, 2000, pp. 187-193, 2000, IEEE Computer Society, 0-7695-0912-6. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
real-time performance analysis, linear token passing bus networks, LTPB network, exact network timing property, optimal bandwidth allocation scheme, WCAU, worst available utilization, protocols, local area networks, bandwidth allocation, mission-critical systems |
26 | Keqin Li 0001, Yi Pan 0001, Si-Qing Zheng |
Fast and Processor Efficient Parallel Matrix Multiplication Algorithms on a Linear Array With a Reconfigurable Pipelined Bus System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 9(8), pp. 705-720, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
Compound algorithm, optical pipelined bus, Strassen's algorithm, reconfigurability, matrix multiplication, linear array |
26 | Ahmed El-Amawy, Priyalal Kulasinghe |
Algorithmic Mapping of Feedforward Neural Networks onto Multiple Bus Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 8(2), pp. 130-136, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
back error propagation, computation graph, optimal mapping, Artificial neural networks, broadcasting, computation time, communication time, multiple bus system, feedforward network |
26 | Craig S. Steele, Jeffrey T. Draper, Jeff Koller, C. LaCour |
A Bus-Efficient Low-Latency Network Interface for the PDSS Multicomputer. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPDC ![In: Proceedings of the 6th International Symposium on High Performance Distributed Computing, HPDC '97, Portland, OR, USA, August 5-8, 1997., pp. 213-222, 1997, IEEE Computer Society, 0-8186-8117-9. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
bus-efficient low-latency network interface, PDSS multicomputer, unprivileged code, cache-to-cache communications, distributed barrier-synchronization mechanism, single-chip implementation, commodity processor, routing, multiprocessor interconnection networks, interconnect, cache coherence protocols |
26 | Yi Pan 0001, Keqin Li 0001, Si-Qing Zheng |
Fast nearest neighbor algorithms on a linear array with a reconfigurable pipelined bus system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPAN ![In: 1997 International Symposium on Parallel Architectures, Algorithms and Networks (ISPAN '97), 18-20 December 1997, Taipei, Taiwan, pp. 444-450, 1997, IEEE Computer Society, 0-8186-8259-0. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
reconfigurable pipelined bus, nearest neighbor problem, O(log log n)time, n/sup 3/ processors, O(1) time, image processing, binary image, linear array, nearest neighbor algorithms |
26 | Arshad Ali, Ramachandran Vaidyanathan |
Exact Bounds on Running ASCEND/DESCEND and FAN-IN Algorithms on Synchronous Multiple Bus Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 7(8), pp. 783-790, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
ASCEND/DESCEND algorithms, FAN-IN algorithms, multiple bus networks, synchronous parallelism, scheduling, parallel algorithms |
26 | Chauchin Su, Yue-Tsang Chen, Shyh-Jye Jou, Yuan-Tzu Ting |
Metrology for analog module testing using analog testability bus. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: Proceedings of the 1996 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1996, San Jose, CA, USA, November 10-14, 1996, pp. 594-599, 1996, IEEE Computer Society / ACM, 0-8186-7597-7. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
analog module, analog module testing, multiple instantiation, test response analysis, test waveform, testability bus, design for testability |
26 | Shigeki Shibayama, Kazumasa Hamaguchi, Toshiyuki Fukui, Yoshiaki Sudo, Tomohiko Shimoyama, Shuichi Nakamura |
An Optical Bus Computer Cluster with a deferred cache coherence protocol. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPADS ![In: 1996 International Conference on Parallel and Distributed Systems (ICPADS '96), June 3-6, 1996, Tokyo, Japan, Proceedings, pp. 175-182, 1996, IEEE Computer Society, 0-8186-7267-6. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
Optical Bus Computer Cluster, deferred cache coherence protocol, optical star-coupler, one-hop simultaneous broadcasting, wavelength multiplexing, deferred cache coherence, coherence maintenance, protocols, wavelength-division multiplexing, optical interconnections, cache storage |
26 | Roberto Giorgi, Cosimo Antonio Prete, Luigi M. Ricciardi, Gianpaolo Prina |
A Hybrid Approach to Trace Generation for Performance Evaluation of Shared-Bus Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUROMICRO ![In: 22rd EUROMICRO Conference '96, Beyond 2000: Hardware and Software Design Strategies, September 2-5, 1996, Prague, Czech Republic, pp. 207-214, 1996, IEEE Computer Society, 0-8186-7487-3. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
software approach, user references, virtual-to-physical address translation, kernel reference stream, general-purpose machine, multitasking operating system, performance evaluation, performance evaluation, shared-memory multiprocessor, process scheduling, hybrid approach, trace generation, shared-bus multiprocessors |
26 | Tzong-Wann Kao, Shi-Jinn Horng |
Computing Dominators and Its Applications on Processor Arrays with Reconfigurable Bus Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPAN ![In: 1996 International Symposium on Parallel Architectures, Algorithms and Networks (ISPAN '96), June 12-14, 1996, Beijing, China, pp. 302-308, 1996, IEEE Computer Society, 0-8186-7460-1. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
bridge-connected components, reconfigurable bus system, dominator, undirected graphs, bridge, dominator tree, biconnected components, articulation point |
26 | Arun K. Jagota |
A near-optimal algorithm for gossiping in a d-dimensional mesh bus interconnection network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPPS ![In: Proceedings of IPPS '95, The 9th International Parallel Processing Symposium, April 25-28, 1995, Santa Barbara, California, USA, pp. 331-337, 1995, IEEE Computer Society, 0-8186-7074-6. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
near-optimal algorithm, d-dimensional mesh bus interconnection network, geometric characterization, parallel algorithms, multiprocessor interconnection networks, upper bound, gossiping, message transmission |
26 | Sérgio Vale Aguiar Campos, Edmund M. Clarke, Wilfredo R. Marrero, Marius Minea |
Verifying the performance of the PCI local bus using symbolic techniques. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 1995 International Conference on Computer Design (ICCD '95), VLSI in Computers and Processors, October 2-4, 1995, Austin, TX, USA, Proceedings, pp. 72-78, 1995, IEEE Computer Society, 0-8186-7165-3. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
PCI local bus, model checking techniques, performance evaluation, logic testing, system buses, symbolic techniques, timing information, finite-state systems, temporal behavior |
26 | Tai-Kuo Woo, Stanley Y. W. Su |
PCBN: A High-Performance Partitionable Circular Bus Network for Distributed Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 4(12), pp. 1298-1307, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
high-performance partitionable circular bus network, distributednetwork, graph traversal algorithm, idlingproblem, nonconflicting requests, distributed systems, synchronization, distributed processing, synchronisation, graph colouring, maximal independent sets |
25 | Jeffrey M. Carver, Richard Neil Pittman, Alessandro Forin |
Automatic bus macro placement for partially reconfigurable FPGA designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPGA ![In: Proceedings of the ACM/SIGDA 17th International Symposium on Field Programmable Gate Arrays, FPGA 2009, Monterey, California, USA, February 22-24, 2009, pp. 269-272, 2009, ACM, 978-1-60558-410-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
emips, reconfigurable computing, dynamic partial reconfiguration, floor-planning |
25 | Fu-Ching Yang, Cheng-Lung Chiang, Ing-Jer Huang |
A reverse-encoding-based on-chip AHB bus tracer for efficient circular buffer utilization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 14th Asia South Pacific Design Automation Conference, ASP-DAC 2009, Yokohama, Japan, January 19-22, 2009, pp. 721-726, 2009, IEEE, 978-1-4244-2748-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
25 | Jianbing Ma, Weiru Liu, Paul Miller 0003, WeiQi Yan 0001 |
Event Composition with Imperfect Information for Bus Surveillance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AVSS ![In: Sixth IEEE International Conference on Advanced Video and Signal Based Surveillance, AVSS 2009, 2-4 September 2009, Genova, Italy, pp. 382-387, 2009, IEEE Computer Society, 978-0-7695-3718-4. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
25 | Carsten Albrecht, Philipp Roß, Roman Koch, Thilo Pionteck, Erik Maehle |
Performance Analysis of Bus-Based Interconnects for a Run-Time Reconfigurable Co-Processor Platform. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDP ![In: 16th Euromicro International Conference on Parallel, Distributed and Network-Based Processing (PDP 2008), 13-15 February 2008, Toulouse, France, pp. 200-205, 2008, IEEE Computer Society, 978-0-7695-3089-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Network Co-processor, SoC Interconnect, Run-Time Reconfiguration |
25 | Dae Hyun Kim 0004, Sung Kyu Lim |
Bus-aware microarchitectural floorplanning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 13th Asia South Pacific Design Automation Conference, ASP-DAC 2008, Seoul, Korea, January 21-24, 2008, pp. 204-208, 2008, IEEE, 978-1-4244-1921-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
25 | Sudeep Pasricha, Nikil D. Dutt |
ORB: An on-chip optical ring bus communication architecture for multi-processor systems-on-chip. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 13th Asia South Pacific Design Automation Conference, ASP-DAC 2008, Seoul, Korea, January 21-24, 2008, pp. 789-794, 2008, IEEE, 978-1-4244-1921-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
25 | Fu-Ching Yang, Jing-Kun Zhong, Ing-Jer Huang |
Verifying external interrupts of embedded microprocessor in SoC with on-chip bus. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2008 International Conference on Computer-Aided Design, ICCAD 2008, San Jose, CA, USA, November 10-13, 2008, pp. 372-377, 2008, IEEE Computer Society, 978-1-4244-2820-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
25 | Yan-Jie Chai, Ji-Yin Sun, Jing Gao, Ling-Jiao Tao, Jing Ji, Fei-Hu Bao |
Improvement of I2C Bus and RS-232 Serial Port under Complex Electromagnetic Environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CSSE (4) ![In: International Conference on Computer Science and Software Engineering, CSSE 2008, Volume 4: Embedded Programming / Database Technology / Neural Networks and Applications / Other Applications, December 12-14, 2008, Wuhan, China, pp. 178-181, 2008, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
25 | Fang Zhu, Jie Song, Ruixia Yang, Junhua Gu |
Research on Counting Method of Bus Passenger Flow Based on Kinematics of Human Body. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CSSE (1) ![In: International Conference on Computer Science and Software Engineering, CSSE 2008, Volume 1: Artificial Intelligence, December 12-14, 2008, Wuhan, China, pp. 201-204, 2008, IEEE Computer Society, 978-0-7695-3336-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
25 | Sampo Tuuna, Jouni Isoaho, Hannu Tenhunen |
Analysis of Delay Variation in Encoded On-Chip Bus Signaling under Process Variation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 21st International Conference on VLSI Design (VLSI Design 2008), 4-8 January 2008, Hyderabad, India, pp. 228-234, 2008, IEEE Computer Society, 0-7695-3083-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
25 | Shangyao Yan, Yu-Jung Tung, Ching-Hui Tang |
Intercity Bus Scheduling Model Incorporating Variable Market Share. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Syst. Man Cybern. Part A ![In: IEEE Trans. Syst. Man Cybern. Part A 37(6), pp. 921-932, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
25 | Hyung Gyu Lee, Naehyuck Chang, Ümit Y. Ogras, Radu Marculescu |
On-chip communication architecture exploration: A quantitative evaluation of point-to-point, bus, and network-on-chip approaches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 12(3), pp. 23:1-23:20, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
MPEG-2 encoder, system-on-chip, Networks-on-chip, FPGA prototype, point-to-point |
Displaying result #401 - #500 of 14699 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ 13][ 14][ >>] |
|