The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase PI-Bus (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1968-1977 (16) 1978-1979 (17) 1980-1981 (28) 1982 (33) 1983 (25) 1984 (26) 1985 (37) 1986 (37) 1987 (35) 1988 (64) 1989 (54) 1990 (65) 1991 (60) 1992 (72) 1993 (80) 1994 (88) 1995 (107) 1996 (115) 1997 (121) 1998 (149) 1999 (172) 2000 (213) 2001 (187) 2002 (232) 2003 (376) 2004 (447) 2005 (516) 2006 (600) 2007 (687) 2008 (606) 2009 (607) 2010 (532) 2011 (539) 2012 (514) 2013 (543) 2014 (544) 2015 (556) 2016 (532) 2017 (614) 2018 (595) 2019 (709) 2020 (732) 2021 (797) 2022 (720) 2023 (712) 2024 (188)
Publication types (Num. hits)
article(9767) book(4) data(19) incollection(20) inproceedings(4838) phdthesis(51)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 4167 occurrences of 2110 keywords

Results
Found 14725 publication records. Showing 14699 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
29Bjorn De Sutter, Hans Vandierendonck, Bruno De Bus, Koenraad De Bosschere On the side-effects of code abstraction. Search on Bibsonomy LCTES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF code abstraction, performance, code compaction
29Bjorn De Sutter, Bruno De Bus, Koenraad De Bosschere Sifting out the mud: low level C++ code reuse. Search on Bibsonomy OOPSLA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF code size reduction, code compaction
29Libor Bus, Pavel Tvrdík Distributed Memory Auction Algorithms for the Linear Assignment Problem. Search on Bibsonomy IASTED PDCS The full citation details ... 2002 DBLP  BibTeX  RDF
29Hinderk M. Buß Finite element methods for variational problems based on nonconforming dual mixed discretisations. Search on Bibsonomy J. Num. Math. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
29Bjorn De Sutter, Bruno De Bus, Koenraad De Bosschere, Saumya K. Debray Combining Global Code and Data Compaction. Search on Bibsonomy LCTES/OM The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
29Libor Bus, Pavel Tvrdík A Parallel Algorithm for Connected Components on Distributed Memory Machines. Search on Bibsonomy PVM/MPI The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
29Bjorn De Sutter, Bruno De Bus, Koen De Bosschere, Saumya Debray Combining Global Code and Data Compaction. Search on Bibsonomy OM@PLDI The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
29Bjorn De Sutter, Bruno De Bus, Koenraad De Bosschere, P. Keyngnaert, Bart Demoen On the Static Analysis of Indirect Control Transfers in Binaries. Search on Bibsonomy PDPTA The full citation details ... 2000 DBLP  BibTeX  RDF
29Roland Buß, Lothar Mühlbach, Detlef Runde Advantages and disadvantages of a 3D virtual environment for supporting informal communication in distributed workgroups compared with a text-chat and a chat/cam system. Search on Bibsonomy HCI (2) The full citation details ... 1999 DBLP  BibTeX  RDF
29J. C. P. Bus, T. J. Dekker Two Efficient Algorithms with Guaranteed Convergence for Finding a Zero of a Function. Search on Bibsonomy ACM Trans. Math. Softw. The full citation details ... 1975 DBLP  DOI  BibTeX  RDF
27Madhu Mutyam Selective shielding technique to eliminate crosstalk transitions. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF power consumption, Crosstalk, switching activity, bus encoding
27Taufik, Makbul Anwari Modeling and Simulation of Current Ripple in DC Link Connecting Two PWM Inverters Using Matlab/Simulink. Search on Bibsonomy Asia International Conference on Modelling and Simulation The full citation details ... 2008 DBLP  DOI  BibTeX  RDF DC bus Current Ripple, PWM Inverter
27Márcio Oyamada, Flávio Rech Wagner, Marius Bonaciu, Wander O. Cesário, Ahmed Amine Jerraya Software Performance Estimation in MPSoC Design. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF cycle-accurate simulation model, software performance estimation, MPSoC design, software-dominated embedded systems, integrated methodology, bus-functional model, multiprocessor platform, MPEG4 encoder, neural networks, performance analysis, design space exploration, design validation
27Wenqian Huang, Liping Chen, Zhijun Meng, Chunjiang Zhao 0001 Design of Can-based Variable Rate Fertilizer Control System. Search on Bibsonomy CCTA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF variable rate fertilizer, DSP, PID control, Precision Agriculture, CAN bus
27Brett H. Meyer, Donald E. Thomas Simultaneous synthesis of buses, data mapping and memory allocation for MPSoC. Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF bus architecture synthesis, embedded multiprocessor systems-on-chip, partitioning, sharing, memory allocation, data mapping
27Zili Shao, Bin Xiao 0001, Chun Xue, Qingfeng Zhuge, Edwin Hsing-Mean Sha Loop scheduling with timing and switching-activity minimization for VLIW DSP. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF instruction bus optimization, low-power optimization, compilers, software pipelining, VLIW, retiming, instruction scheduling, loops
27Ming Zhang 0026, Qing Yang 0001 BUCS - A Bottom-Up Cache Structure for Networked Storage Servers. Search on Bibsonomy ICPP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF cache structure, intelligent controller, networked storage, bus contention
27Luca Benini, Angelo Galati, Alberto Macii, Enrico Macii, Massimo Poncino Energy-efficient data scrambling on memory-processor interfaces. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF data scrambling, bus encoding, power attacks
27Jörg Kaiser, Michael Mock Implementing the Real-Time Publisher/Subscriber Model on the Controller Area Network (CAN). Search on Bibsonomy ISORC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Real-Time Communication Systems, Publisher/Subsrciber Model, Tag-based addressing, CAN-Bus
27Jin-Hua Hong, Chung-Hung Tsai, Cheng-Wen Wu Hierarchical Testing Using the IEEE Std 1149.5 Module Test and Maintenance Slave Interface Module. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF MTM Bus, Boundary Scan, Hierarchical Testing
27Duc J. Vianney, James H. Thomas, Vicki Rabaza The Gould NP1 system interconnecting. Search on Bibsonomy ICS The full citation details ... 1988 DBLP  DOI  BibTeX  RDF Gould NP1, dual-cpu, processor farm, inter-system bus link, multiprocessor
27Jean-Philippe Lombardi, Jürgen Vogel 0001 Wizard-based process modeling for business users. Search on Bibsonomy AVI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27Qin Li 0002, Huibiao Zhu, Jifeng He 0001 Towards the Service Composition Through Buses. Search on Bibsonomy HASE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Po-Tsang Huang, Wei-Li Fang, Yin-Ling Wang, Wei Hwang Low Power and Reliable Interconnection with Self-Corrected Green Coding Scheme for Network-on-Chip. Search on Bibsonomy NOCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF interconnnection, reliability, low power, network-on-chip
27Mehdi Dehbashi, Vahid Lari, Seyed Ghassem Miremadi, Mohammad Shokrollah-Shirazi Fault Effects in FlexRay-Based Networks with Hybrid Topology. Search on Bibsonomy ARES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FlexRay Protocol, Fault Injection, Error Propagation, Distributed Embedded Systems, Dependability Evaluation
27Michel Sede, Xu Li 0009, Da Li, Min-You Wu, Minglu Li 0001, Wei Shu Routing in Large-Scale Buses Ad Hoc Networks. Search on Bibsonomy WCNC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Krishnan Sundaresan, Nihar R. Mahapatra An Analysis of Timing Violations Due to Spatially Distributed Thermal Effects in Global Wires. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Brock J. LaMeres, Kanupriya Gulati, Sunil P. Khatri Controlling inductive cross-talk and power in off-chip buses using CODECs. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Vasily V. Balashov, Valery A. Kostenko, Ruslan L. Smeliansky, S. V. Vavinov A tool system for automatic scheduling of data exchange in real-time distributed embedded systems. Search on Bibsonomy ISCN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Maged Ghoneima, Yehea I. Ismail, Muhammad M. Khellah, Vivek De Reducing the data switching activity of serialized datastreams. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Maged Ghoneima, Yehea I. Ismail, Muhammad M. Khellah, Vivek De Reducing the Data Switching Activity on Serial Link Buses. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Thomas D. Richardson, Chrysostomos Nicopoulos, Dongkook Park, Narayanan Vijaykrishnan, Yuan Xie 0001, Chita R. Das, Vijay Degalahal A Hybrid SoC Interconnect with Dynamic TDMA-Based Transaction-Less Buses and On-Chip Networks. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Youtao Zhang, Lan Gao, Jun Yang 0002, Xiangyu Zhang 0001, Rajiv Gupta 0001 SENSS: Security Enhancement to Symmetric Shared Memory Multiprocessors. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Tung-Chieh Chen, Yao-Wen Chang Modern floorplanning based on fast simulated annealing. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF simulated annealing, floorplanning
27Eun-Gu Jung, Eonpyo Hong, Kyoung-Son Jhang, Jeong-A Lee, Dong-Soo Har Self-timed Interconnect with Layered Interface Based on Distributed and Modularized Control for Multimedia SoCs. Search on Bibsonomy PCM (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Maged Ghoneima, Yehea I. Ismail Utilizing the effect of relative delay on energy dissipation in low-power on-chip buses. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Yazdan Aghaghiri, Farzan Fallah, Massoud Pedram Transition reduction in memory buses using sector-based encoding techniques. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Jayapreetha Natesan, Damu Radhakrishnan Shift Invert Coding (SINV) for Low Power VLSI. Search on Bibsonomy DSD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Byung-Soo Choi, Jeong-A Lee, Dong-Soo Har High Performance Microprocessor Design Methods Exploiting Information Locality and Data Redundancy for Lower Area Cost and Power Consumption. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Maged Ghoneima, Yehea I. Ismail Formal derivation of optimal active shielding for low-power on-chip buses. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Madhu Mutyam Preventing Crosstalk Delay using Fibonacci Representation. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Sandeep Gupta, M. M. Gore BUSTRAP - An Efficient Travel Planner for Metropolitans. Search on Bibsonomy IWDC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Yi Pan 0001 Computing on the Restricted LARPBS Model. Search on Bibsonomy ISPA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Tiehan Lv, Wayne H. Wolf, Jörg Henkel, Haris Lekatsas An Adaptive Dictionary Encoding Scheme for SOC Data Buses. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Junji Ogawa, Mark Horowitz A 64Mbit Mesochronous Hybrid Wave Pipelined Multibank DRAM Macro. Search on Bibsonomy Intelligent Memory Systems The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
27Henrik Lönn, Jakob Axelsson A comparison of fixed-priority and static cyclic scheduling for distributed automotive control applications. Search on Bibsonomy ECRTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
27Julio Sahuquillo, Ana Pont The Filter Cache: A Run-Time Cache Management Approach1. Search on Bibsonomy EUROMICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF multi-lateral cache, data cache management, multiprocessor systems, memory architectures, data locality
27Jong Hyuk Choi, Bong Wan Kim, Kyu Ho Park, Kwang-Il Park A Bandwidth-Efficient Implementation of Mesh with Multiple Broadcasting. Search on Bibsonomy ICPP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Mesh with Buses, Parallel Computing, Multicast, Interconnection Network, Broadcast, Collective Communication
27Ching-Chih Han, Kang G. Shin Real-time communication in FieldBus multiaccess networks. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF field buses, FieldBus multiaccess networks, automated factories, absolute deadline guarantees, multiaccess local area networks, SP-50 FieldBus, industrial standard protocol, manufacturing applications, token-passing networks, centralized scheduling multiaccess network, centralized token scheduling, pinwheel, distance-constrained scheduling, centralized-scheduling multiaccess networks, message deadlines, scheduling, real-time systems, protocols, local area networks, timing constraints, real-time communication, random access, embedded real-time systems, computer integrated manufacturing, token networks, multi-access systems, CSMA/CD, industrial process controls, multiaccess networks
27James A. Davis A functional language architecture that supports fine-grain parallelism (extended abstract). Search on Bibsonomy ACM Conference on Computer Science The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
27Mohamed G. Gouda, Umeshwar Dayal Optimal Semijoin Schedules For Query Processing in Local Distributed Database Systems. Search on Bibsonomy SIGMOD Conference The full citation details ... 1981 DBLP  DOI  BibTeX  RDF
27Hoo-Min D. Toong, Svein O. Strommen, Earl R. Goodrich II A Gemeral Multi-Microprocessor Interconnection Mechanism for Non-Numeric Processing. Search on Bibsonomy Computer Architecture for Non-Numeric Processing The full citation details ... 1980 DBLP  DOI  BibTeX  RDF
26Jin-Tai Yan, Zhi-Wei Chen Two-sided single-detour untangling for bus routing. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF board-level routing, bus routing
26Xueqiang Mi, Xinhuai Tang, Xiaozhou Yuan, Delai Chen, Xiangfeng Luo Multifactor-Driven Hierarchical Routing on Enterprise Service Bus. Search on Bibsonomy WISM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Message Routing, Enterprise Integration, Enterprise Service Bus
26Ray Bittner Bus mastering PCI express in an FPGA. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF bus mastering, pcie, performance, fpga, design, pci express
26Janusz Sosnowski, Dawid Trawczynski, Janusz Zalewski Safety Issues in Modern Bus Standards. Search on Bibsonomy Computer The full citation details ... 2008 DBLP  DOI  BibTeX  RDF bus standards, standards, safety-critical applications
26Ricky E. Sward, Kelly J. Whitacre A multi-language service-oriented architecture using an enterprise service bus. Search on Bibsonomy SIGAda The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multi-language development, service-oriented architecture, soa, software architecture, enterprise service bus, esb
26Michael N. Skoufis, Kedar Karmarkar, Themistoklis Haniotakis, Spyros Tragoudas A High-Performance Bus Architecture for Strongly Coupled Interconnects. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF high-speed bus, crosstalk
26Sixto Ortiz Jr. Getting on Board the Enterprise Service Bus. Search on Bibsonomy Computer The full citation details ... 2007 DBLP  DOI  BibTeX  RDF service-oriented architectures, Enterprise service bus
26Kin Choong Yow 0001, Lin Chen, Xiaoyu Liu BlueBus: a scalable solution for localized mobile service in a public bus. Search on Bibsonomy Mobility Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF public bus, mobile device, Bluetooth, data service
26Arvind Ashok, Christian M. Beck, Nick Quagliara Ri-Ri: assisting bus conductors in madras (chennai). Search on Bibsonomy CHI Extended Abstracts The full citation details ... 2007 DBLP  DOI  BibTeX  RDF CHI 2007, Madras, transportation, bus, MTC
26Dariusz Koscielnik The Structure of a Control Network Integrated with the S-bus of an ISDN Network. Search on Bibsonomy ICN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF field network, controll network, S-bus, system integration
26Muhammet Mustafa Ozdal, Martin D. F. Wong Two-layer bus routing for high-speed printed circuit boards. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF min-max length constraints, High-speed, bus routing, PCB
26Jin Guo 0001, Antonis Papanikolaou, Pol Marchal, Francky Catthoor Energy/area/delay trade-offs in the physical design of on-chip segmented bus architecture. Search on Bibsonomy SLIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF segmented bus, floorplanning, trade-offs
26Yuh-Rau Wang, Shi-Jinn Horng, Chin-Hsiung Wu Efficient Algorithms for the All Nearest Neighbor and Closest Pair Problems on the Linear Array with a Reconfigurable Pipelined Bus System. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF LARPBS, reconfigurable bus model, Parallel algorithm, closest pair, all nearest neighbors
26Cristian Grecu, Partha Pratim Pande, André Ivanov, Res Saleh Structured interconnect architecture: a solution for the non-scalability of bus-based SoCs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF BFT, scalability, pipelining, bus, MP-SoC
26Alberto Bocca, Sabino Salerno, Enrico Macii, Massimo Poncino Energy-efficient bus encoding for LCD displays. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF LCD displays, digital display interfaces, low-power bus encoding
26Marc Bertola, Guy Bois A methodology for the design of AHB bus master wrappers. Search on Bibsonomy DSD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF AMBA AHB, slave, methodology, Wrapper, master, bus protocol
26Amitava Datta Efficient Graph-Theoretic Algorithms on a Linear Array with a Reconfigurable Pipelined Bus System. Search on Bibsonomy J. Supercomput. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF reconfigurable pipelined bus, minimum spanning forest, parallel algorithm, graph algorithms, connected components, optical computing, biconnected components
26Marcellin Buisson, Alexandre Bustico, Stéphane Chatty, François-Régis Colin, Yannick Jestin, Sébastien Maury, Christophe P. Mertz, Philippe Truillet Ivy: un bus logiciel au service du développement de prototypes de systèmes interactifs. Search on Bibsonomy IHM The full citation details ... 2002 DBLP  DOI  BibTeX  RDF interactive systems engineering, prototyping tools, software bus
26Amal de Silva Combining Constraint Programming and Linear Programming on an Example of Bus Driver Scheduling. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF bus driver scheduling, constraint programming, column generation
26Keqin Li, Victor Y. Pan Parallel Matrix Multiplication on a Linear Array with a Reconfigurable Pipelined Bus System. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Bilinear algorithm, optical pipelined bus, distributed memory system, matrix multiplication, speedup, PRAM, reconfigurable system, linear array, cost-optimality
26Chauchin Su, Yue-Tsang Chen, Shyh-Jye Jou Intrinsic response for analog module testing using an analog testability bus. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF analog testability bus, intrinsic response, design for testability, analog testing, boundary scan
26Keqin Li 0001, Yi Pan 0001, Si-Qing Zheng Efficient Deterministic and Probabilistic Simulations of PRAMs on Linear Arrays with Reconfigurable Pipelined Bus Systems. Search on Bibsonomy J. Supercomput. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Concurrent read, concurrent write, deterministic simulation, probabilistic simulation, sorting, shared memory, time complexity, parallel random access machine, linear array, optical bus
26Bharadwaj Veeravalli, Xiaolin Li 0001, Chi Chung Ko On the Influence of Start-Up Costs in Scheduling Divisible Loads on Bus Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF optimal sequence, bus networks, communication delay, Divisible loads, processing time
26Zhou Qiang, Luo Zhiqiang, Huagang Xiong, Li Qiao Real-Time Performance Analysis for Linear Token Passing Bus Networks. Search on Bibsonomy LCN The full citation details ... 2000 DBLP  DOI  BibTeX  RDF real-time performance analysis, linear token passing bus networks, LTPB network, exact network timing property, optimal bandwidth allocation scheme, WCAU, worst available utilization, protocols, local area networks, bandwidth allocation, mission-critical systems
26Keqin Li 0001, Yi Pan 0001, Si-Qing Zheng Fast and Processor Efficient Parallel Matrix Multiplication Algorithms on a Linear Array With a Reconfigurable Pipelined Bus System. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Compound algorithm, optical pipelined bus, Strassen's algorithm, reconfigurability, matrix multiplication, linear array
26Ahmed El-Amawy, Priyalal Kulasinghe Algorithmic Mapping of Feedforward Neural Networks onto Multiple Bus Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF back error propagation, computation graph, optimal mapping, Artificial neural networks, broadcasting, computation time, communication time, multiple bus system, feedforward network
26Craig S. Steele, Jeffrey T. Draper, Jeff Koller, C. LaCour A Bus-Efficient Low-Latency Network Interface for the PDSS Multicomputer. Search on Bibsonomy HPDC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF bus-efficient low-latency network interface, PDSS multicomputer, unprivileged code, cache-to-cache communications, distributed barrier-synchronization mechanism, single-chip implementation, commodity processor, routing, multiprocessor interconnection networks, interconnect, cache coherence protocols
26Yi Pan 0001, Keqin Li 0001, Si-Qing Zheng Fast nearest neighbor algorithms on a linear array with a reconfigurable pipelined bus system. Search on Bibsonomy ISPAN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF reconfigurable pipelined bus, nearest neighbor problem, O(log log n)time, n/sup 3/ processors, O(1) time, image processing, binary image, linear array, nearest neighbor algorithms
26Arshad Ali, Ramachandran Vaidyanathan Exact Bounds on Running ASCEND/DESCEND and FAN-IN Algorithms on Synchronous Multiple Bus Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF ASCEND/DESCEND algorithms, FAN-IN algorithms, multiple bus networks, synchronous parallelism, scheduling, parallel algorithms
26Chauchin Su, Yue-Tsang Chen, Shyh-Jye Jou, Yuan-Tzu Ting Metrology for analog module testing using analog testability bus. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF analog module, analog module testing, multiple instantiation, test response analysis, test waveform, testability bus, design for testability
26Shigeki Shibayama, Kazumasa Hamaguchi, Toshiyuki Fukui, Yoshiaki Sudo, Tomohiko Shimoyama, Shuichi Nakamura An Optical Bus Computer Cluster with a deferred cache coherence protocol. Search on Bibsonomy ICPADS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Optical Bus Computer Cluster, deferred cache coherence protocol, optical star-coupler, one-hop simultaneous broadcasting, wavelength multiplexing, deferred cache coherence, coherence maintenance, protocols, wavelength-division multiplexing, optical interconnections, cache storage
26Roberto Giorgi, Cosimo Antonio Prete, Luigi M. Ricciardi, Gianpaolo Prina A Hybrid Approach to Trace Generation for Performance Evaluation of Shared-Bus Multiprocessors. Search on Bibsonomy EUROMICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF software approach, user references, virtual-to-physical address translation, kernel reference stream, general-purpose machine, multitasking operating system, performance evaluation, performance evaluation, shared-memory multiprocessor, process scheduling, hybrid approach, trace generation, shared-bus multiprocessors
26Tzong-Wann Kao, Shi-Jinn Horng Computing Dominators and Its Applications on Processor Arrays with Reconfigurable Bus Systems. Search on Bibsonomy ISPAN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF bridge-connected components, reconfigurable bus system, dominator, undirected graphs, bridge, dominator tree, biconnected components, articulation point
26Arun K. Jagota A near-optimal algorithm for gossiping in a d-dimensional mesh bus interconnection network. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF near-optimal algorithm, d-dimensional mesh bus interconnection network, geometric characterization, parallel algorithms, multiprocessor interconnection networks, upper bound, gossiping, message transmission
26Sérgio Vale Aguiar Campos, Edmund M. Clarke, Wilfredo R. Marrero, Marius Minea Verifying the performance of the PCI local bus using symbolic techniques. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF PCI local bus, model checking techniques, performance evaluation, logic testing, system buses, symbolic techniques, timing information, finite-state systems, temporal behavior
26Tai-Kuo Woo, Stanley Y. W. Su PCBN: A High-Performance Partitionable Circular Bus Network for Distributed Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF high-performance partitionable circular bus network, distributednetwork, graph traversal algorithm, idlingproblem, nonconflicting requests, distributed systems, synchronization, distributed processing, synchronisation, graph colouring, maximal independent sets
25Jeffrey M. Carver, Richard Neil Pittman, Alessandro Forin Automatic bus macro placement for partially reconfigurable FPGA designs. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF emips, reconfigurable computing, dynamic partial reconfiguration, floor-planning
25Fu-Ching Yang, Cheng-Lung Chiang, Ing-Jer Huang A reverse-encoding-based on-chip AHB bus tracer for efficient circular buffer utilization. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
25Jianbing Ma, Weiru Liu, Paul Miller 0003, WeiQi Yan 0001 Event Composition with Imperfect Information for Bus Surveillance. Search on Bibsonomy AVSS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
25Carsten Albrecht, Philipp Roß, Roman Koch, Thilo Pionteck, Erik Maehle Performance Analysis of Bus-Based Interconnects for a Run-Time Reconfigurable Co-Processor Platform. Search on Bibsonomy PDP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Network Co-processor, SoC Interconnect, Run-Time Reconfiguration
25Dae Hyun Kim 0004, Sung Kyu Lim Bus-aware microarchitectural floorplanning. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Sudeep Pasricha, Nikil D. Dutt ORB: An on-chip optical ring bus communication architecture for multi-processor systems-on-chip. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Fu-Ching Yang, Jing-Kun Zhong, Ing-Jer Huang Verifying external interrupts of embedded microprocessor in SoC with on-chip bus. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Yan-Jie Chai, Ji-Yin Sun, Jing Gao, Ling-Jiao Tao, Jing Ji, Fei-Hu Bao Improvement of I2C Bus and RS-232 Serial Port under Complex Electromagnetic Environment. Search on Bibsonomy CSSE (4) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Fang Zhu, Jie Song, Ruixia Yang, Junhua Gu Research on Counting Method of Bus Passenger Flow Based on Kinematics of Human Body. Search on Bibsonomy CSSE (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Sampo Tuuna, Jouni Isoaho, Hannu Tenhunen Analysis of Delay Variation in Encoded On-Chip Bus Signaling under Process Variation. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Shangyao Yan, Yu-Jung Tung, Ching-Hui Tang Intercity Bus Scheduling Model Incorporating Variable Market Share. Search on Bibsonomy IEEE Trans. Syst. Man Cybern. Part A The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Hyung Gyu Lee, Naehyuck Chang, Ümit Y. Ogras, Radu Marculescu On-chip communication architecture exploration: A quantitative evaluation of point-to-point, bus, and network-on-chip approaches. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF MPEG-2 encoder, system-on-chip, Networks-on-chip, FPGA prototype, point-to-point
Displaying result #401 - #500 of 14699 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license